4 * Licensed under the Apache License, Version 2.
0 (the "License");
5 * you may not use this file except in compliance with the License.
6 * You may obtain a copy of the License at
8 * http://www.apache.org/licenses/LICENSE-2.
0
10 * Unless required by applicable law or agreed to in writing, software
11 * distributed under the License is distributed on an "AS IS" BASIS,
12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13 * See the License for the specific language governing permissions and
14 * limitations under the License.
37 use IEEE.std_logic_1164.
all;
38 use IEEE.numeric_std.
all;
41 use UNISIM.vcomponents.
all;
228 constant cUSE_DEPRECATED_DIRECTIVES : boolean := false;
233 attribute X_INTERFACE_INFO : string;
234 attribute DEBUG : string;
235 attribute X_INTERFACE_INFO of dpBSCAN_drck: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN drck";
237 attribute X_INTERFACE_INFO of dpBSCAN_shift: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN shift";
239 attribute X_INTERFACE_INFO of dpBSCAN_tdi: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN tdi";
241 attribute X_INTERFACE_INFO of dpBSCAN_update: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN update";
243 attribute X_INTERFACE_INFO of dpBSCAN_sel: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN sel";
245 attribute X_INTERFACE_INFO of dpBSCAN_tdo: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN tdo";
247 attribute X_INTERFACE_INFO of dpBSCAN_tms: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN tms";
249 attribute X_INTERFACE_INFO of dpBSCAN_tck: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN tck";
251 attribute X_INTERFACE_INFO of dpBSCAN_runtest: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN runtest";
253 attribute X_INTERFACE_INFO of dpBSCAN_reset: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN reset";
255 attribute X_INTERFACE_INFO of dpBSCAN_capture: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN capture";
257 attribute X_INTERFACE_INFO of dpBSCAN_bscanid_en: signal is "xilinx.com:interface:bscan:1.0 S_BSCAN bscanid_en";
265 signal sReadTlastAsVector : std_logic_vector(0 downto 0);
266 signal sWriteTlastAsVector : std_logic_vector(0 downto 0);
267 signal sResetAsVector : std_logic_vector(0 downto 0);
269 signal sMetaOutTlastAsVector_Udp : std_logic_vector(0 downto 0);
270 signal sMetaInTlastAsVector_Udp : std_logic_vector(0 downto 0);
271 signal sMetaOutTlastAsVector_Tcp : std_logic_vector(0 downto 0);
272 signal sMetaInTlastAsVector_Tcp : std_logic_vector(0 downto 0);
274 signal sUdpPostCnt : std_ulogic_vector(9 downto 0);
275 signal sTcpPostCnt : std_ulogic_vector(9 downto 0);
279 signal sResetApps_n : std_logic;
288 component TriangleApplication
is
293 ap_clk :
in std_logic;
294 ap_rst_n :
in std_logic;
296 piFMC_ROL_rank_V :
in std_logic_vector (
31 downto 0);
297 piFMC_ROL_rank_V_ap_vld :
in std_logic;
299 piFMC_ROL_size_V :
in std_logic_vector (
31 downto 0);
300 piFMC_ROL_size_V_ap_vld :
in std_logic;
305 siNrc_data_TDATA :
in std_logic_vector(
63 downto 0);
306 siNrc_data_TKEEP :
in std_logic_vector(
7 downto 0);
307 siNrc_data_TLAST :
in std_logic;
308 siNrc_data_TVALID :
in std_logic;
309 siNrc_data_TREADY :
out std_logic;
313 soNrc_data_TDATA :
out std_logic_vector(
63 downto 0);
314 soNrc_data_TKEEP :
out std_logic_vector(
7 downto 0);
315 soNrc_data_TLAST :
out std_logic;
316 soNrc_data_TVALID :
out std_logic;
317 soNrc_data_TREADY :
in std_logic;
319 siNrc_meta_TDATA :
in std_logic_vector (
63 downto 0);
320 siNrc_meta_TVALID :
in std_logic;
321 siNrc_meta_TREADY :
out std_logic;
322 siNrc_meta_TKEEP :
in std_logic_vector (
7 downto 0);
323 siNrc_meta_TLAST :
in std_logic_vector (
0 downto 0);
325 soNrc_meta_TDATA :
out std_logic_vector (
63 downto 0);
326 soNrc_meta_TVALID :
out std_logic;
327 soNrc_meta_TREADY :
in std_logic;
328 soNrc_meta_TKEEP :
out std_logic_vector (
7 downto 0);
329 soNrc_meta_TLAST :
out std_logic_vector (
0 downto 0);
331 poROL_NRC_Rx_ports_V :
out std_logic_vector (
31 downto 0);
332 poROL_NRC_Rx_ports_V_ap_vld :
out std_logic
334 end component TriangleApplication;
336 component ila_role_0
is
339 probe0 :
in std_logic_vector(
63 downto 0);
340 probe1 :
in std_logic_vector(
7 downto 0);
341 probe2 :
in std_logic_vector(
0 downto 0);
342 probe3 :
in std_logic_vector(
0 downto 0);
343 probe4 :
in std_logic_vector(
0 downto 0);
344 probe5 :
in std_logic_vector(
63 downto 0);
345 probe6 :
in std_logic_vector(
7 downto 0);
346 probe7 :
in std_logic_vector(
0 downto 0);
347 probe8 :
in std_logic_vector(
0 downto 0);
348 probe9 :
in std_logic_vector(
0 downto 0);
349 probe10 :
in std_logic_vector(
63 downto 0);
350 probe11 :
in std_logic_vector(
7 downto 0);
351 probe12 :
in std_logic_vector(
0 downto 0);
352 probe13 :
in std_logic_vector(
0 downto 0);
353 probe14 :
in std_logic_vector(
0 downto 0);
354 probe15 :
in std_logic_vector(
63 downto 0);
355 probe16 :
in std_logic_vector(
7 downto 0);
356 probe17 :
in std_logic_vector(
0 downto 0);
357 probe18 :
in std_logic_vector(
0 downto 0);
358 probe19 :
in std_logic_vector(
0 downto 0);
359 probe20 :
in std_logic_vector(
63 downto 0);
360 probe21 :
in std_logic_vector(
7 downto 0);
361 probe22 :
in std_logic_vector(
0 downto 0);
362 probe23 :
in std_logic_vector(
0 downto 0);
363 probe24 :
in std_logic_vector(
0 downto 0);
364 probe25 :
in std_logic_vector(
63 downto 0);
365 probe26 :
in std_logic_vector(
7 downto 0);
366 probe27 :
in std_logic_vector(
0 downto 0);
367 probe28 :
in std_logic_vector(
0 downto 0);
368 probe29 :
in std_logic_vector(
0 downto 0);
369 probe30 :
in std_logic_vector(
63 downto 0);
370 probe31 :
in std_logic_vector(
7 downto 0);
371 probe32 :
in std_logic_vector(
0 downto 0);
372 probe33 :
in std_logic_vector(
0 downto 0);
373 probe34 :
in std_logic_vector(
0 downto 0);
374 probe35 :
in std_logic_vector(
63 downto 0);
375 probe36 :
in std_logic_vector(
7 downto 0);
376 probe37 :
in std_logic_vector(
0 downto 0);
377 probe38 :
in std_logic_vector(
0 downto 0);
378 probe39 :
in std_logic_vector(
0 downto 0);
379 probe40 :
in std_logic_vector(
31 downto 0);
380 probe41 :
in std_logic_vector(
31 downto 0)
382 end component ila_role_0;
388 function fVectorize(s:
std_logic)
return std_logic_vector is
389 variable v: std_logic_vector(0 downto 0);
395 function fScalarize(v:
in std_logic_vector)
return std_ulogic is
398 report "scalarize: output port must be single bit!"
437 UAF: TriangleApplication
444 ap_rst_n => sResetApps_n,
447 piFMC_ROL_rank_V_ap_vld => '1',
449 piFMC_ROL_size_V_ap_vld => '1',
471 siNrc_meta_TLAST => sMetaInTlastAsVector_Udp,
477 soNrc_meta_TLAST => sMetaOutTlastAsVector_Udp,
496 TAF: TriangleApplication
504 ap_rst_n => sResetApps_n,
507 piFMC_ROL_rank_V_ap_vld => '1',
509 piFMC_ROL_size_V_ap_vld => '1',
531 siNrc_meta_TLAST => sMetaInTlastAsVector_Tcp,
537 soNrc_meta_TLAST => sMetaOutTlastAsVector_Tcp,
619 end architecture Flash;
in soNRC_Tcp_Data_treadystd_ulogic
out moMEM_Mp1_ARSIZEstd_ulogic_vector(2 downto 0)
out moMEM_Mp1_AWADDRstd_ulogic_vector(32 downto 0)
out soNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Udp_Meta_TREADYstd_ulogic
in piSHL_156_25Clkstd_ulogic
out moMEM_Mp1_RREADYstd_ulogic
out soROLE_Nrc_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piSHL_156_25Rststd_ulogic
in moMEM_Mp1_RLASTstd_ulogic
in siNRC_Udp_Data_tlaststd_ulogic
out siMEM_Mp0_Read_treadystd_ulogic
in siNRC_Role_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tkeepstd_ulogic_vector(63 downto 0)
in dpBSCAN_resetstd_logic
out soNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Tcp_Meta_TLASTstd_ulogic
in siMEM_Mp0_WrSts_tdatastd_ulogic_vector(7 downto 0)
out soMEM_Mp0_Write_tkeepstd_ulogic_vector(63 downto 0)
out siNRC_Tcp_Data_treadystd_ulogic
in siNRC_Role_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in moMEM_Mp1_BVALIDstd_ulogic
out moMEM_Mp1_WSTRBstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_BREADYstd_ulogic
out moMEM_Mp1_AWIDstd_ulogic_vector(7 downto 0)
in moMEM_Mp1_RVALIDstd_ulogic
in soNRC_Udp_Data_treadystd_ulogic
in soMEM_Mp0_WrCmd_treadystd_ulogic
in siNRC_Udp_Data_tvalidstd_ulogic
in piMMIO_Ly7_Enstd_ulogic
in soMEM_Mp0_Write_treadystd_ulogic
out soNRC_Udp_Data_tlaststd_ulogic
out soROLE_Nrc_Udp_Meta_TVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TVALIDstd_ulogic
out moMEM_Mp1_WLASTstd_ulogic
out soNRC_Udp_Data_tvalidstd_ulogic
out moMEM_Mp1_WDATAstd_ulogic_vector(511 downto 0)
in siNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out soMEM_Mp0_RdCmd_tdatastd_ulogic_vector(79 downto 0)
in siMEM_Mp0_Read_tdatastd_ulogic_vector(511 downto 0)
out soMEM_Mp0_Write_tdatastd_ulogic_vector(511 downto 0)
out siMEM_Mp0_RdSts_treadystd_ulogic
out moMEM_Mp1_ARBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Role_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_RdSts_tdatastd_ulogic_vector(7 downto 0)
out poROL_Nrc_Tcp_Rx_portsstd_ulogic_vector(31 downto 0)
in siNRC_Tcp_Data_tvalidstd_ulogic
in siNRC_Role_Udp_Meta_TLASTstd_ulogic
in moMEM_Mp1_ARREADYstd_ulogic
out siNRC_Udp_Data_treadystd_ulogic
in moMEM_Mp1_RRESPstd_ulogic_vector(1 downto 0)
out soMEM_Mp0_Write_tlaststd_ulogic
out moMEM_Mp1_ARIDstd_ulogic_vector(7 downto 0)
in dpBSCAN_capturestd_logic
out soMEM_Mp0_WrCmd_tvalidstd_ulogic
out moMEM_Mp1_ARVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_RdCmd_tvalidstd_ulogic
out soNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tvalidstd_ulogic
in dpBSCAN_bscanid_enstd_logic
in moMEM_Mp1_RIDstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in piFMC_ROLE_rankstd_logic_vector(31 downto 0)
out soROLE_Nrc_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piTOP_250_00Clkstd_ulogic
out moMEM_Mp1_AWLENstd_ulogic_vector(7 downto 0)
in piFMC_ROLE_sizestd_logic_vector(31 downto 0)
in siNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in soMEM_Mp0_RdCmd_treadystd_ulogic
in moMEM_Mp1_WREADYstd_ulogic
out siNRC_Role_Udp_Meta_TREADYstd_ulogic
out soNRC_Tcp_Data_tlaststd_ulogic
in siMEM_Mp0_RdSts_tvalidstd_ulogic
out soROLE_Nrc_Udp_Meta_TLASTstd_ulogic
out moMEM_Mp1_AWSIZEstd_ulogic_vector(2 downto 0)
in dpBSCAN_shiftstd_logic
in moMEM_Mp1_RDATAstd_ulogic_vector(511 downto 0)
in moMEM_Mp1_BIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tdatastd_ulogic_vector(79 downto 0)
in piMMIO_Ly7_Rststd_ulogic
out poSHL_Mmio_RdRegstd_ulogic_vector(15 downto 0)
out siNRC_Role_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Udp_Meta_TVALIDstd_ulogic
in moMEM_Mp1_BRESPstd_ulogic_vector(1 downto 0)
out moMEM_Mp1_WVALIDstd_ulogic
in dpBSCAN_updatestd_logic
in siNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
out moMEM_Mp1_ARLENstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Tcp_Meta_TLASTstd_ulogic
out soNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siNRC_Tcp_Data_tlaststd_ulogic
out siMEM_Mp0_WrSts_treadystd_ulogic
in dpBSCAN_runteststd_logic
in siMEM_Mp0_WrSts_tvalidstd_ulogic
out soMEM_Mp0_Write_tvalidstd_ulogic
out moMEM_Mp1_ARADDRstd_ulogic_vector(32 downto 0)
in moMEM_Mp1_AWREADYstd_ulogic
out poROL_Nrc_Udp_Rx_portsstd_ulogic_vector(31 downto 0)
in siMEM_Mp0_Read_tlaststd_ulogic
out soNRC_Tcp_Data_tvalidstd_ulogic