67 use IEEE.STD_LOGIC_1164.
ALL;
70 use WORK.ArpCam_pkg.
all;
130 component AddressResolutionServer_Deprecated
133 aresetn :
in std_logic;
135 piMMIO_MacAddress_V :
in std_logic_vector(
47 downto 0);
136 piMMIO_Ip4Address_V :
in std_logic_vector(
31 downto 0);
138 siIPRX_Data_TDATA :
in std_logic_vector(
63 downto 0);
139 siIPRX_Data_TKEEP :
in std_logic_vector(
7 downto 0);
140 siIPRX_Data_TLAST :
in std_logic;
141 siIPRX_Data_TVALID :
in std_logic;
142 siIPRX_Data_TREADY :
out std_logic;
144 soETH_Data_TDATA :
out std_logic_vector(
63 downto 0);
145 soETH_Data_TKEEP :
out std_logic_vector(
7 downto 0);
146 soETH_Data_TLAST :
out std_logic;
147 soETH_Data_TVALID :
out std_logic;
148 soETH_Data_TREADY :
in std_logic;
150 siIPTX_MacLkpReq_TDATA :
in std_logic_vector(gKeyLength
-1 downto 0);
151 siIPTX_MacLkpReq_TVALID :
in std_logic;
152 siIPTX_MacLkpReq_TREADY :
out std_logic;
154 soIPTX_MacLkpRep_TDATA :
out std_logic_vector(
55 downto 0);
155 soIPTX_MacLkpRep_TVALID :
out std_logic;
156 soIPTX_MacLkpRep_TREADY :
in std_logic;
158 soCAM_MacUpdReq_TDATA :
out std_logic_vector(
87 downto 0);
159 soCAM_MacUpdReq_TVALID :
out std_logic;
160 soCAM_MacUpdReq_TREADY :
in std_logic;
162 siCAM_MacUpdRep_TDATA :
in std_logic_vector(
55 downto 0);
163 siCAM_MacUpdRep_TVALID :
in std_logic;
164 siCAM_MacUpdRep_TREADY :
out std_logic;
166 soCAM_MacLkpReq_TDATA :
out std_logic_vector(
31 downto 0);
167 soCAM_MacLkpReq_TVALID :
out std_logic;
168 soCAM_MacLkpReq_TREADY :
in std_logic;
170 siCAM_MacLkpRep_TDATA :
in std_logic_vector(
55 downto 0);
171 siCAM_MacLkpRep_TVALID :
in std_logic;
172 siCAM_MacLkpRep_TREADY :
out std_logic
174 end component AddressResolutionServer_Deprecated;
176 component AddressResolutionServer
178 ap_clk :
in std_logic;
179 ap_Rst_n :
in std_logic;
181 piMMIO_MacAddress_V :
in std_logic_vector(
47 downto 0);
182 piMMIO_Ip4Address_V :
in std_logic_vector(
31 downto 0);
184 siIPRX_Data_TDATA :
in std_logic_vector(
63 downto 0);
185 siIPRX_Data_TKEEP :
in std_logic_vector(
7 downto 0);
186 siIPRX_Data_TLAST :
in std_logic;
187 siIPRX_Data_TVALID :
in std_logic;
188 siIPRX_Data_TREADY :
out std_logic;
190 soETH_Data_TDATA :
out std_logic_vector(
63 downto 0);
191 soETH_Data_TKEEP :
out std_logic_vector(
7 downto 0);
192 soETH_Data_TLAST :
out std_logic;
193 soETH_Data_TVALID :
out std_logic;
194 soETH_Data_TREADY :
in std_logic;
196 siIPTX_MacLkpReq_V_V_TDATA :
in std_logic_vector(gKeyLength
-1 downto 0);
197 siIPTX_MacLkpReq_V_V_TVALID :
in std_logic;
198 siIPTX_MacLkpReq_V_V_TREADY :
out std_logic;
200 soIPTX_MacLkpRep_V_TDATA :
out std_logic_vector(
55 downto 0);
201 soIPTX_MacLkpRep_V_TVALID :
out std_logic;
202 soIPTX_MacLkpRep_V_TREADY :
in std_logic;
204 soCAM_MacUpdReq_V_TDATA :
out std_logic_vector(
87 downto 0);
205 soCAM_MacUpdReq_V_TVALID :
out std_logic;
206 soCAM_MacUpdReq_V_TREADY :
in std_logic;
208 siCAM_MacUpdRep_V_TDATA :
in std_logic_vector(
55 downto 0);
209 siCAM_MacUpdRep_V_TVALID :
in std_logic;
210 siCAM_MacUpdRep_V_TREADY :
out std_logic;
212 soCAM_MacLkpReq_V_key_V_TDATA :
out std_logic_vector(
31 downto 0);
213 soCAM_MacLkpReq_V_key_V_TVALID :
out std_logic;
214 soCAM_MacLkpReq_V_key_V_TREADY :
in std_logic;
216 siCAM_MacLkpRep_V_TDATA :
in std_logic_vector(
55 downto 0);
217 siCAM_MacLkpRep_V_TVALID :
in std_logic;
218 siCAM_MacLkpRep_V_TREADY :
out std_logic
220 end component AddressResolutionServer;
225 signal sReset_n : std_logic;
227 signal ssARS_CAM_MacLkpReq_TDATA : std_logic_vector(31 downto 0);
228 signal ssARS_CAM_MacLkpReq_TVALID : std_logic;
229 signal ssARS_CAM_MacLkpReq_TREADY : std_logic;
230 signal sHlsToRtl_MacLkpReq_TDATA : t_RtlLkpReq;
232 signal ssCAM_ARS_MacLkpRep_TDATA : std_logic_vector(55 downto 0);
233 signal ssCAM_ARS_MacLkpRep_TVALID : std_logic;
234 signal ssCAM_ARS_MacLkpRep_TREADY : std_logic;
235 signal sRtlToHls_MacLkpRep_TDATA : t_RtlLkpRep;
237 signal ssARS_CAM_MacUpdReq_TDATA : std_logic_vector(87 downto 0);
238 signal ssARS_CAM_MacUpdReq_TVALID : std_logic;
239 signal ssARS_CAM_MacUpdReq_TREADY : std_logic;
240 signal sHlsToRtl_MacUpdReq_TDATA : t_RtlUpdReq;
242 signal ssCAM_ARS_MacUpdRep_TDATA : std_logic_vector(55 downto 0);
243 signal ssCAM_ARS_MacUpdRep_TVALID : std_logic;
244 signal ssCAM_ARS_MacUpdRep_TREADY : std_logic;
245 signal sRtlToHls_MacUpdRep_TDATA : t_RtlUpdRep;
249 sHlsToRtl_MacLkpReq_TDATA.srcBit <= '0';
250 sHlsToRtl_MacLkpReq_TDATA.ipKey <= ssARS_CAM_MacLkpReq_TDATA(31 downto 0);
252 ssCAM_ARS_MacLkpRep_TDATA(47 downto 0) <= sRtlToHls_MacLkpRep_TDATA.macVal;
253 ssCAM_ARS_MacLkpRep_TDATA(48) <= sRtlToHls_MacLkpRep_TDATA.hitBit;
254 ssCAM_ARS_MacLkpRep_TDATA(49) <= sRtlToHls_MacLkpRep_TDATA.srcBit;
255 ssCAM_ARS_MacLkpRep_TDATA(55 downto 50) <= "000000";
257 sHlsToRtl_MacUpdReq_TDATA.srcBit <= '0';
258 sHlsToRtl_MacUpdReq_TDATA.opCode <= ssARS_CAM_MacUpdReq_TDATA(80);
259 sHlsToRtl_MacUpdReq_TDATA.macVal <= ssARS_CAM_MacUpdReq_TDATA(47 downto 0);
260 sHlsToRtl_MacUpdReq_TDATA.ipKey <= ssARS_CAM_MacUpdReq_TDATA(79 downto 48);
262 ssCAM_ARS_MacUpdRep_TDATA(47 downto 0) <= sRtlToHls_MacUpdRep_TDATA.macVal;
263 ssCAM_ARS_MacUpdRep_TDATA(48) <= sRtlToHls_MacUpdRep_TDATA.opCode;
264 ssCAM_ARS_MacUpdRep_TDATA(55 downto 49) <= "0000000";
271 CAM:
entity work.
ArpCam(Behavioral)
300 ARS: AddressResolutionServer_Deprecated
328 soCAM_MacLkpReq_TDATA => ssARS_CAM_MacLkpReq_TDATA,
329 soCAM_MacLkpReq_TVALID => ssARS_CAM_MacLkpReq_TVALID,
330 soCAM_MacLkpReq_TREADY => ssARS_CAM_MacLkpReq_TREADY,
332 siCAM_MacLkpRep_TDATA => ssCAM_ARS_MacLkpRep_TDATA,
333 siCAM_MacLkpRep_TVALID => ssCAM_ARS_MacLkpRep_TVALID,
334 siCAM_MacLkpRep_TREADY => ssCAM_ARS_MacLkpRep_TREADY,
336 soCAM_MacUpdReq_TDATA => ssARS_CAM_MacUpdReq_TDATA,
337 soCAM_MacUpdReq_TVALID => ssARS_CAM_MacUpdReq_TVALID,
338 soCAM_MacUpdReq_TREADY => ssARS_CAM_MacUpdReq_TREADY,
340 siCAM_MacUpdRep_TDATA => ssCAM_ARS_MacUpdRep_TDATA,
341 siCAM_MacUpdRep_TVALID => ssCAM_ARS_MacUpdRep_TVALID,
342 siCAM_MacUpdRep_TREADY => ssCAM_ARS_MacUpdRep_TREADY
345 ARS: AddressResolutionServer
348 ap_rst_n => sReset_n,
373 soCAM_MacLkpReq_V_key_V_TDATA => ssARS_CAM_MacLkpReq_TDATA,
374 soCAM_MacLkpReq_V_key_V_TVALID => ssARS_CAM_MacLkpReq_TVALID,
375 soCAM_MacLkpReq_V_key_V_TREADY => ssARS_CAM_MacLkpReq_TREADY,
377 siCAM_MacLkpRep_V_TDATA => ssCAM_ARS_MacLkpRep_TDATA,
378 siCAM_MacLkpRep_V_TVALID => ssCAM_ARS_MacLkpRep_TVALID,
379 siCAM_MacLkpRep_V_TREADY => ssCAM_ARS_MacLkpRep_TREADY,
381 soCAM_MacUpdReq_V_TDATA => ssARS_CAM_MacUpdReq_TDATA,
382 soCAM_MacUpdReq_V_TVALID => ssARS_CAM_MacUpdReq_TVALID,
383 soCAM_MacUpdReq_V_TREADY => ssARS_CAM_MacUpdReq_TREADY,
385 siCAM_MacUpdRep_V_TDATA => ssCAM_ARS_MacUpdRep_TDATA,
386 siCAM_MacUpdRep_V_TVALID => ssCAM_ARS_MacUpdRep_TVALID,
387 siCAM_MacUpdRep_V_TREADY => ssCAM_ARS_MacUpdRep_TREADY
in piMMIO_Ip4Addressstd_logic_vector(31 downto 0)
in siIPTX_MacLkpReq_TVALIDstd_logic
in siIPRX_Data_tvalidstd_logic
in siIPRX_Data_tlaststd_logic
in siIPTX_MacLkpReq_TDATAstd_logic_vector( gKeyLength- 1 downto 0)
out soIPTX_MacLkpRep_TVALIDstd_logic
in siIPRX_Data_tdatastd_logic_vector(63 downto 0)
out soETH_Data_tvalidstd_logic
out siIPRX_Data_treadystd_logic
out soIPTX_MacLkpRep_TDATAstd_logic_vector(55 downto 0)
in soETH_Data_treadystd_logic
out soETH_Data_tdatastd_logic_vector(63 downto 0)
out soETH_Data_tkeepstd_logic_vector(7 downto 0)
in piMMIO_MacAddressstd_logic_vector(47 downto 0)
in siIPRX_Data_tkeepstd_logic_vector(7 downto 0)
out soETH_Data_tlaststd_logic
in soIPTX_MacLkpRep_TREADYstd_logic
out siIPTX_MacLkpReq_TREADYstd_logic
out soLkpRep_Validstd_logic
out soUpdRep_Datat_RtlUpdRep
in siLkpReq_Datat_RtlLkpReq
out poDebugstd_logic_vector(179 downto 0)
in siUpdReq_Datat_RtlUpdReq
out soUpdRep_Validstd_logic
in soUpdRep_Readystd_logic
in siLkpReq_Validstd_logic
in siUpdReq_Validstd_logic
out siUpdReq_Readystd_logic
in soLkpRep_Readystd_logic
out siLkpReq_Readystd_logic
out soLkpRep_Datat_RtlLkpRep