16 use IEEE.std_logic_1164.
all;
17 use IEEE.numeric_std.
all;
20 use UNISIM.vcomponents.
all;
208 constant cUSE_DEPRECATED_DIRECTIVES : boolean := false;
218 signal sReadTlastAsVector : std_logic_vector(0 downto 0);
219 signal sWriteTlastAsVector : std_logic_vector(0 downto 0);
220 signal sResetAsVector : std_logic_vector(0 downto 0);
222 signal sMetaOutTlastAsVector_Udp : std_logic_vector(0 downto 0);
223 signal sMetaInTlastAsVector_Udp : std_logic_vector(0 downto 0);
224 signal sMetaOutTlastAsVector_Tcp : std_logic_vector(0 downto 0);
225 signal sMetaInTlastAsVector_Tcp : std_logic_vector(0 downto 0);
227 signal sUdpPostCnt : std_ulogic_vector(9 downto 0);
228 signal sTcpPostCnt : std_ulogic_vector(9 downto 0);
239 component UppercaseApplication
is
244 ap_clk :
in std_logic;
245 ap_rst_n :
in std_logic;
246 ap_start :
in std_logic;
249 piFMC_ROL_rank_V :
in std_logic_vector (
31 downto 0);
251 piFMC_ROL_size_V :
in std_logic_vector (
31 downto 0);
256 siSHL_This_Data_tdata :
in std_logic_vector(
63 downto 0);
257 siSHL_This_Data_tkeep :
in std_logic_vector(
7 downto 0);
258 siSHL_This_Data_tlast :
in std_logic;
259 siSHL_This_Data_tvalid :
in std_logic;
260 siSHL_This_Data_tready :
out std_logic;
264 soTHIS_Shl_Data_tdata :
out std_logic_vector(
63 downto 0);
265 soTHIS_Shl_Data_tkeep :
out std_logic_vector(
7 downto 0);
266 soTHIS_Shl_Data_tlast :
out std_logic;
267 soTHIS_Shl_Data_tvalid :
out std_logic;
268 soTHIS_Shl_Data_tready :
in std_logic;
270 siNrc_meta_TDATA :
in std_logic_vector (
63 downto 0);
271 siNrc_meta_TVALID :
in std_logic;
272 siNrc_meta_TREADY :
out std_logic;
273 siNrc_meta_TKEEP :
in std_logic_vector (
7 downto 0);
274 siNrc_meta_TLAST :
in std_logic_vector (
0 downto 0);
276 soNrc_meta_TDATA :
out std_logic_vector (
63 downto 0);
277 soNrc_meta_TVALID :
out std_logic;
278 soNrc_meta_TREADY :
in std_logic;
279 soNrc_meta_TKEEP :
out std_logic_vector (
7 downto 0);
280 soNrc_meta_TLAST :
out std_logic_vector (
0 downto 0);
282 poROL_NRC_Rx_ports_V :
out std_logic_vector (
31 downto 0);
283 poROL_NRC_Rx_ports_V_ap_vld :
out std_logic
409 end component UppercaseApplication;
416 function fVectorize(s:
std_logic)
return std_logic_vector is
417 variable v: std_logic_vector(0 downto 0);
423 function fScalarize(v:
in std_logic_vector)
return std_ulogic is
426 report "scalarize: output port must be single bit!"
470 UAF: UppercaseApplication
505 siNrc_meta_TLAST => sMetaInTlastAsVector_Udp,
511 soNrc_meta_TLAST => sMetaOutTlastAsVector_Udp,
852 end architecture Flash;
in soNRC_Tcp_Data_treadystd_ulogic
out moMEM_Mp1_ARSIZEstd_ulogic_vector(2 downto 0)
out moMEM_Mp1_AWADDRstd_ulogic_vector(32 downto 0)
out soNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Udp_Meta_TREADYstd_ulogic
in piSHL_156_25Clkstd_ulogic
out moMEM_Mp1_RREADYstd_ulogic
out soROLE_Nrc_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piSHL_156_25Rststd_ulogic
in moMEM_Mp1_RLASTstd_ulogic
in siNRC_Udp_Data_tlaststd_ulogic
out siMEM_Mp0_Read_treadystd_ulogic
in siNRC_Role_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tkeepstd_ulogic_vector(63 downto 0)
out soNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Tcp_Meta_TLASTstd_ulogic
in siMEM_Mp0_WrSts_tdatastd_ulogic_vector(7 downto 0)
out soMEM_Mp0_Write_tkeepstd_ulogic_vector(63 downto 0)
out siNRC_Tcp_Data_treadystd_ulogic
in siNRC_Role_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in moMEM_Mp1_BVALIDstd_ulogic
out moMEM_Mp1_WSTRBstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_BREADYstd_ulogic
out moMEM_Mp1_AWIDstd_ulogic_vector(7 downto 0)
in moMEM_Mp1_RVALIDstd_ulogic
in soNRC_Udp_Data_treadystd_ulogic
in soMEM_Mp0_WrCmd_treadystd_ulogic
in siNRC_Udp_Data_tvalidstd_ulogic
in piMMIO_Ly7_Enstd_ulogic
in soMEM_Mp0_Write_treadystd_ulogic
out soNRC_Udp_Data_tlaststd_ulogic
out soROLE_Nrc_Udp_Meta_TVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TVALIDstd_ulogic
out moMEM_Mp1_WLASTstd_ulogic
out soNRC_Udp_Data_tvalidstd_ulogic
out moMEM_Mp1_WDATAstd_ulogic_vector(511 downto 0)
in siNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out soMEM_Mp0_RdCmd_tdatastd_ulogic_vector(79 downto 0)
in siMEM_Mp0_Read_tdatastd_ulogic_vector(511 downto 0)
out soMEM_Mp0_Write_tdatastd_ulogic_vector(511 downto 0)
out siMEM_Mp0_RdSts_treadystd_ulogic
out moMEM_Mp1_ARBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Role_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_RdSts_tdatastd_ulogic_vector(7 downto 0)
out poROL_Nrc_Tcp_Rx_portsstd_ulogic_vector(31 downto 0)
in siNRC_Tcp_Data_tvalidstd_ulogic
in siNRC_Role_Udp_Meta_TLASTstd_ulogic
in moMEM_Mp1_ARREADYstd_ulogic
out siNRC_Udp_Data_treadystd_ulogic
in moMEM_Mp1_RRESPstd_ulogic_vector(1 downto 0)
out soMEM_Mp0_Write_tlaststd_ulogic
out moMEM_Mp1_ARIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tvalidstd_ulogic
out moMEM_Mp1_ARVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_RdCmd_tvalidstd_ulogic
out soNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tvalidstd_ulogic
in moMEM_Mp1_RIDstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in piFMC_ROLE_rankstd_logic_vector(31 downto 0)
out soROLE_Nrc_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piTOP_250_00Clkstd_ulogic
out moMEM_Mp1_AWLENstd_ulogic_vector(7 downto 0)
in piFMC_ROLE_sizestd_logic_vector(31 downto 0)
in siNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in soMEM_Mp0_RdCmd_treadystd_ulogic
in moMEM_Mp1_WREADYstd_ulogic
out siNRC_Role_Udp_Meta_TREADYstd_ulogic
out soNRC_Tcp_Data_tlaststd_ulogic
in siMEM_Mp0_RdSts_tvalidstd_ulogic
out soROLE_Nrc_Udp_Meta_TLASTstd_ulogic
out moMEM_Mp1_AWSIZEstd_ulogic_vector(2 downto 0)
in moMEM_Mp1_RDATAstd_ulogic_vector(511 downto 0)
in moMEM_Mp1_BIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tdatastd_ulogic_vector(79 downto 0)
in piMMIO_Ly7_Rststd_ulogic
out poSHL_Mmio_RdRegstd_ulogic_vector(15 downto 0)
out siNRC_Role_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Udp_Meta_TVALIDstd_ulogic
in moMEM_Mp1_BRESPstd_ulogic_vector(1 downto 0)
out moMEM_Mp1_WVALIDstd_ulogic
in siNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
out moMEM_Mp1_ARLENstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Tcp_Meta_TLASTstd_ulogic
out soNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siNRC_Tcp_Data_tlaststd_ulogic
out siMEM_Mp0_WrSts_treadystd_ulogic
in siMEM_Mp0_WrSts_tvalidstd_ulogic
out soMEM_Mp0_Write_tvalidstd_ulogic
out moMEM_Mp1_ARADDRstd_ulogic_vector(32 downto 0)
in moMEM_Mp1_AWREADYstd_ulogic
out poROL_Nrc_Udp_Rx_portsstd_ulogic_vector(31 downto 0)
in siMEM_Mp0_Read_tlaststd_ulogic
out soNRC_Tcp_Data_tvalidstd_ulogic