4 * Licensed under the Apache License, Version 2.
0 (the "License");
5 * you may not use this file except in compliance with the License.
6 * You may obtain a copy of the License at
8 * http://www.apache.org/licenses/LICENSE-2.
0
10 * Unless required by applicable law or agreed to in writing, software
11 * distributed under the License is distributed on an "AS IS" BASIS,
12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13 * See the License for the specific language governing permissions and
14 * limitations under the License.
38 use IEEE.std_logic_1164.
all;
39 use IEEE.numeric_std.
all;
42 use UNISIM.vcomponents.
all;
44 library XIL_DEFAULTLIB;
45 use XIL_DEFAULTLIB.all;
288 signal s156_25Rst_delayed : std_ulogic;
289 signal sRstDelayCounter : std_ulogic_vector(5 downto 0);
294 signal ssTSIF_TARS_Data_tdata : std_ulogic_vector( 63 downto 0);
295 signal ssTSIF_TARS_Data_tkeep : std_ulogic_vector( 7 downto 0);
296 signal ssTSIF_TARS_Data_tlast : std_ulogic;
297 signal ssTSIF_TARS_Data_tvalid : std_ulogic;
298 signal ssTSIF_TARS_Data_tready : std_ulogic;
300 signal ssTSIF_TARS_SessId_tdata : std_ulogic_vector( 15 downto 0);
301 signal ssTSIF_TARS_SessId_tvalid : std_ulogic;
302 signal ssTSIF_TARS_SessId_tready : std_ulogic;
304 signal ssTSIF_TARS_DatLen_tdata : std_ulogic_vector( 15 downto 0);
305 signal ssTSIF_TARS_DatLen_tvalid : std_ulogic;
306 signal ssTSIF_TARS_DatLen_tready : std_ulogic;
308 signal ssTARS_TAF_Data_tdata : std_ulogic_vector( 63 downto 0);
309 signal ssTARS_TAF_Data_tkeep : std_ulogic_vector( 7 downto 0);
310 signal ssTARS_TAF_Data_tlast : std_ulogic;
311 signal ssTARS_TAF_Data_tvalid : std_ulogic;
312 signal ssTARS_TAF_Data_tready : std_ulogic;
314 signal ssTARS_TAF_SessId_tdata : std_ulogic_vector( 15 downto 0);
315 signal ssTARS_TAF_SessId_tvalid : std_ulogic;
316 signal ssTARS_TAF_SessId_tready : std_ulogic;
318 signal ssTARS_TAF_DatLen_tdata : std_ulogic_vector( 15 downto 0);
319 signal ssTARS_TAF_DatLen_tvalid : std_ulogic;
320 signal ssTARS_TAF_DatLen_tready : std_ulogic;
325 signal ssTSIF_ARS_SinkCnt_tdata : std_ulogic_vector( 31 downto 0);
326 signal ssTSIF_ARS_SinkCnt_tvalid : std_ulogic;
327 signal ssTSIF_ARS_SinkCnt_tready : std_ulogic;
332 signal ssTAF_TARS_Data_tdata : std_ulogic_vector( 63 downto 0);
333 signal ssTAF_TARS_Data_tkeep : std_ulogic_vector( 7 downto 0);
334 signal ssTAF_TARS_Data_tlast : std_ulogic;
335 signal ssTAF_TARS_Data_tvalid : std_ulogic;
336 signal ssTAF_TARS_Data_tready : std_ulogic;
338 signal ssTAF_TARS_SessId_tdata : std_ulogic_vector( 15 downto 0);
339 signal ssTAF_TARS_SessId_tvalid : std_ulogic;
340 signal ssTAF_TARS_SessId_tready : std_ulogic;
342 signal ssTAF_TARS_DatLen_tdata : std_ulogic_vector( 15 downto 0);
343 signal ssTAF_TARS_DatLen_tvalid : std_ulogic;
344 signal ssTAF_TARS_DatLen_tready : std_ulogic;
346 signal ssTARS_TSIF_Data_tdata : std_ulogic_vector( 63 downto 0);
347 signal ssTARS_TSIF_Data_tkeep : std_ulogic_vector( 7 downto 0);
348 signal ssTARS_TSIF_Data_tlast : std_ulogic;
349 signal ssTARS_TSIF_Data_tvalid : std_ulogic;
350 signal ssTARS_TSIF_Data_tready : std_ulogic;
352 signal ssTARS_TSIF_SessId_tdata : std_ulogic_vector( 15 downto 0);
353 signal ssTARS_TSIF_SessId_tvalid : std_ulogic;
354 signal ssTARS_TSIF_SessId_tready : std_ulogic;
356 signal ssTARS_TSIF_DatLen_tdata : std_ulogic_vector( 15 downto 0);
357 signal ssTARS_TSIF_DatLen_tvalid : std_ulogic;
358 signal ssTARS_TSIF_DatLen_tready : std_ulogic;
363 signal ssUSIF_UARS_Data_tdata : std_ulogic_vector( 63 downto 0);
364 signal ssUSIF_UARS_Data_tkeep : std_ulogic_vector( 7 downto 0);
365 signal ssUSIF_UARS_Data_tlast : std_ulogic;
366 signal ssUSIF_UARS_Data_tvalid : std_ulogic;
367 signal ssUSIF_UARS_Data_tready : std_ulogic;
369 signal ssUSIF_UARS_Meta_tdata : std_ulogic_vector( 95 downto 0);
370 signal ssUSIF_UARS_Meta_tvalid : std_ulogic;
371 signal ssUSIF_UARS_Meta_tready : std_ulogic;
373 signal ssUSIF_UARS_DLen_tdata : std_ulogic_vector( 15 downto 0);
374 signal ssUSIF_UARS_DLen_tvalid : std_ulogic;
375 signal ssUSIF_UARS_DLen_tready : std_ulogic;
377 signal ssUARS_UAF_Data_tdata : std_ulogic_vector( 63 downto 0);
378 signal ssUARS_UAF_Data_tkeep : std_ulogic_vector( 7 downto 0);
379 signal ssUARS_UAF_Data_tlast : std_ulogic;
380 signal ssUARS_UAF_Data_tvalid : std_ulogic;
381 signal ssUARS_UAF_Data_tready : std_ulogic;
383 signal ssUARS_UAF_Meta_tdata : std_ulogic_vector( 95 downto 0);
384 signal ssUARS_UAF_Meta_tvalid : std_ulogic;
385 signal ssUARS_UAF_Meta_tready : std_ulogic;
387 signal ssUARS_UAF_DLen_tdata : std_ulogic_vector( 15 downto 0);
388 signal ssUARS_UAF_DLen_tvalid : std_ulogic;
389 signal ssUARS_UAF_DLen_tready : std_ulogic;
393 signal ssUAF_UARS_Data_tdata : std_ulogic_vector( 63 downto 0);
394 signal ssUAF_UARS_Data_tkeep : std_ulogic_vector( 7 downto 0);
395 signal ssUAF_UARS_Data_tlast : std_ulogic;
396 signal ssUAF_UARS_Data_tvalid : std_ulogic;
397 signal ssUAF_UARS_Data_tready : std_ulogic;
399 signal ssUAF_UARS_Meta_tdata : std_ulogic_vector( 95 downto 0);
400 signal ssUAF_UARS_Meta_tvalid : std_ulogic;
401 signal ssUAF_UARS_Meta_tready : std_ulogic;
403 signal ssUAF_UARS_DLen_tdata : std_ulogic_vector( 15 downto 0);
404 signal ssUAF_UARS_DLen_tvalid : std_ulogic;
405 signal ssUAF_UARS_DLen_tready : std_ulogic;
407 signal ssUARS_USIF_Data_tdata : std_ulogic_vector( 63 downto 0);
408 signal ssUARS_USIF_Data_tkeep : std_ulogic_vector( 7 downto 0);
409 signal ssUARS_USIF_Data_tlast : std_ulogic;
410 signal ssUARS_USIF_Data_tvalid : std_ulogic;
411 signal ssUARS_USIF_Data_tready : std_ulogic;
413 signal ssUARS_USIF_Meta_tdata : std_ulogic_vector( 95 downto 0);
414 signal ssUARS_USIF_Meta_tvalid : std_ulogic;
415 signal ssUARS_USIF_Meta_tready : std_ulogic;
417 signal ssUARS_USIF_DLen_tdata : std_ulogic_vector( 15 downto 0);
418 signal ssUARS_USIF_DLen_tvalid : std_ulogic;
419 signal ssUARS_USIF_DLen_tready : std_ulogic;
425 signal ssUSIF_UAF_Data_tdata : std_logic_vector(63 downto 0);
426 signal ssUSIF_UAF_Data_tkeep : std_logic_vector( 7 downto 0);
427 signal ssUSIF_UAF_Data_tlast : std_logic;
428 signal ssUSIF_UAF_Data_tvalid : std_logic;
429 signal ssUSIF_UAF_Data_tready : std_logic;
431 signal ssUSIF_UAF_Meta_tdata : std_logic_vector(95 downto 0);
432 signal ssUSIF_UAF_Meta_tvalid : std_logic;
433 signal ssUSIF_UAF_Meta_tready : std_logic;
435 signal ssUSIF_UAF_DLen_tdata : std_logic_vector(15 downto 0);
436 signal ssUSIF_UAF_DLen_tvalid : std_logic;
437 signal ssUSIF_UAF_DLen_tready : std_logic;
440 signal ssUAF_USIF_Data_tdata : std_logic_vector(63 downto 0);
441 signal ssUAF_USIF_Data_tkeep : std_logic_vector( 7 downto 0);
442 signal ssUAF_USIF_Data_tlast : std_logic;
443 signal ssUAF_USIF_Data_tvalid : std_logic;
444 signal ssUAF_USIF_Data_tready : std_logic;
446 signal ssUAF_USIF_Meta_tdata : std_logic_vector(95 downto 0);
447 signal ssUAF_USIF_Meta_tvalid : std_logic;
448 signal ssUAF_USIF_Meta_tready : std_logic;
450 signal ssUAF_USIF_DLen_tdata : std_logic_vector(15 downto 0);
451 signal ssUAF_USIF_DLen_tvalid : std_logic;
452 signal ssUAF_USIF_DLen_tready : std_logic;
458 signal ssUSIF_FIFO_Udp_Data_data : std_logic_vector(72 downto 0);
459 signal ssUSIF_FIFO_Udp_Data_write : std_logic;
460 signal ssUSIF_FIFO_Udp_Data_full : std_logic;
462 signal ssUSIF_FIFO_Udp_Meta_data : std_logic_vector(95 downto 0);
463 signal ssUSIF_FIFO_Udp_Meta_write : std_logic;
464 signal ssUSIF_FIFO_Udp_Meta_full : std_logic;
466 signal ssFIFO_UAF_Udp_Data_data : std_logic_vector(72 downto 0);
467 signal ssFIFO_UAF_Udp_Data_read : std_logic;
468 signal ssFIFO_UAF_Udp_Data_empty : std_logic;
470 signal ssFIFO_UAF_Udp_Meta_data : std_logic_vector(95 downto 0);
471 signal ssFIFO_UAF_Udp_Meta_read : std_logic;
472 signal ssFIFO_UAF_Udp_Meta_empty : std_logic;
475 signal ssUAF_FIFO_Udp_Data_data : std_logic_vector(72 downto 0);
476 signal ssUAF_FIFO_Udp_Data_write : std_logic;
477 signal ssUAF_FIFO_Udp_Data_full : std_logic;
479 signal ssUAF_FIFO_Udp_Meta_data : std_logic_vector(95 downto 0);
480 signal ssUAF_FIFO_Udp_Meta_write : std_logic;
481 signal ssUAF_FIFO_Udp_Meta_full : std_logic;
483 signal ssUAF_FIFO_Udp_DLen_data : std_logic_vector(15 downto 0);
484 signal ssUAF_FIFO_Udp_DLen_write : std_logic;
485 signal ssUAF_FIFO_Udp_DLen_full : std_logic;
487 signal ssFIFO_USIF_Udp_Data_data : std_logic_vector(72 downto 0);
488 signal ssFIFO_USIF_Udp_Data_read : std_logic;
489 signal ssFIFO_USIF_Udp_Data_empty : std_logic;
491 signal ssFIFO_USIF_Udp_Meta_data : std_logic_vector(95 downto 0);
492 signal ssFIFO_USIF_Udp_Meta_read : std_logic;
493 signal ssFIFO_USIF_Udp_Meta_empty : std_logic;
495 signal ssFIFO_USIF_Udp_DLen_data : std_logic_vector(15 downto 0);
496 signal ssFIFO_USIF_Udp_DLen_read : std_logic;
497 signal ssFIFO_USIF_Udp_DLen_empty : std_logic;
499 signal sSHL_Mem_Mp0_Write_tlast : std_ulogic_vector(0 downto 0);
504 attribute mark_debug : string;
506 signal sTSIF_DBG_SinkCnt : std_logic_vector(31 downto 0);
507 attribute mark_debug of sTSIF_DBG_SinkCnt: signal is "true";
509 signal sTSIF_DBG_InpBufSpace : std_logic_vector(15 downto 0);
510 attribute mark_debug of sTSIF_DBG_InpBufSpace : signal is "true";
519 component UdpApplicationFlash_Deprecated
is
525 aresetn :
in std_logic;
529 piSHL_Mmio_En_V :
in std_logic_vector(
0 downto 0);
536 siUSIF_Data_tdata :
in std_logic_vector(
63 downto 0);
537 siUSIF_Data_tkeep :
in std_logic_vector(
7 downto 0);
538 siUSIF_Data_tlast :
in std_logic;
539 siUSIF_Data_tvalid :
in std_logic;
540 siUSIF_Data_tready :
out std_logic;
542 siUSIF_Meta_tdata :
in std_logic_vector(
95 downto 0);
543 siUSIF_Meta_tvalid :
in std_logic;
544 siUSIF_Meta_tready :
out std_logic;
546 siUSIF_DLen_tdata :
in std_logic_vector(
15 downto 0);
547 siUSIF_DLen_tvalid :
in std_logic;
548 siUSIF_DLen_tready :
out std_logic;
552 soUSIF_Data_tdata :
out std_logic_vector(
63 downto 0);
553 soUSIF_Data_tkeep :
out std_logic_vector(
7 downto 0);
554 soUSIF_Data_tlast :
out std_logic;
555 soUSIF_Data_tvalid :
out std_logic;
556 soUSIF_Data_tready :
in std_logic;
558 soUSIF_Meta_tdata :
out std_logic_vector(
95 downto 0);
559 soUSIF_Meta_tvalid :
out std_logic;
560 soUSIF_Meta_tready :
in std_logic;
562 soUSIF_DLen_tdata :
out std_logic_vector(
15 downto 0);
563 soUSIF_DLen_tvalid :
out std_logic;
564 soUSIF_DLen_tready :
in std_logic
566 end component UdpApplicationFlash_Deprecated;
568 component UdpApplicationFlash_ApFifo
is
573 ap_clk :
in std_logic;
574 ap_rst :
in std_logic;
578 piSHL_Mmio_En_V :
in std_logic_vector(
0 downto 0);
585 siUSIF_Data_V_dout :
in std_logic_vector(
72 downto 0);
586 siUSIF_Data_V_empty_n :
in std_logic;
587 siUSIF_Data_V_read :
out std_logic;
589 siUSIF_Meta_V_dout :
in std_logic_vector(
95 DOWNTO 0);
590 siUSIF_Meta_V_empty_n :
in std_logic;
591 siUSIF_Meta_V_read :
out std_logic;
593 siUSIF_DLen_V_V_dout :
in std_logic_vector(
15 DOWNTO 0);
594 siUSIF_DLen_V_V_empty_n :
in std_logic;
595 siUSIF_DLen_V_V_read :
out std_logic;
599 soUSIF_Data_V_din :
out std_logic_vector(
72 downto 0);
600 soUSIF_Data_V_write :
out std_logic;
601 soUSIF_Data_V_full_n :
in std_logic;
603 soUSIF_Meta_V_din :
out std_logic_vector(
95 DOWNTO 0);
604 soUSIF_Meta_V_write :
out std_logic;
605 soUSIF_Meta_V_full_n :
in std_logic;
607 soUSIF_DLen_V_V_din :
out std_logic_vector(
15 downto 0);
608 soUSIF_DLen_V_V_write :
out std_logic;
609 soUSIF_DLen_V_V_full_n :
in std_logic
611 end component UdpApplicationFlash_ApFifo;
613 component UdpApplicationFlash
is
618 ap_clk :
in std_logic;
619 ap_rst_n :
in std_logic;
623 piSHL_Mmio_En_V :
in std_logic_vector(
0 downto 0);
630 siUSIF_Data_tdata :
in std_logic_vector(
63 downto 0);
631 siUSIF_Data_tkeep :
in std_logic_vector(
7 downto 0);
632 siUSIF_Data_tlast :
in std_logic;
633 siUSIF_Data_tvalid :
in std_logic;
634 siUSIF_Data_tready :
out std_logic;
636 siUSIF_Meta_V_tdata :
in std_logic_vector(
95 downto 0);
637 siUSIF_Meta_V_tvalid :
in std_logic;
638 siUSIF_Meta_V_tready :
out std_logic;
640 siUSIF_DLen_V_V_tdata :
in std_logic_vector(
15 downto 0);
641 siUSIF_DLen_V_V_tvalid :
in std_logic;
642 siUSIF_DLen_V_V_tready :
out std_logic;
646 soUSIF_Data_tdata :
out std_logic_vector(
63 downto 0);
647 soUSIF_Data_tkeep :
out std_logic_vector(
7 downto 0);
648 soUSIF_Data_tlast :
out std_logic;
649 soUSIF_Data_tvalid :
out std_logic;
650 soUSIF_Data_tready :
in std_logic;
652 soUSIF_Meta_V_tdata :
out std_logic_vector(
95 downto 0);
653 soUSIF_Meta_V_tvalid :
out std_logic;
654 soUSIF_Meta_V_tready :
in std_logic;
656 soUSIF_DLen_V_V_tdata :
out std_logic_vector(
15 downto 0);
657 soUSIF_DLen_V_V_tvalid :
out std_logic;
658 soUSIF_DLen_V_V_tready :
in std_logic
660 end component UdpApplicationFlash;
662 component UdpShellInterface_Deprecated
is
668 aresetn :
in std_logic;
672 piSHL_Mmio_En_V :
in std_logic_vector(
0 downto 0);
676 soSHL_LsnReq_tdata :
out std_logic_vector(
15 downto 0);
677 soSHL_LsnReq_tvalid :
out std_logic;
678 soSHL_LsnReq_TREADY :
in std_logic;
680 siSHL_LsnRep_tdata :
in std_logic_vector(
7 downto 0);
681 siSHL_LsnRep_tvalid :
in std_logic;
682 siSHL_LsnRep_tready :
out std_logic;
684 soSHL_ClsReq_tdata :
out std_logic_vector(
15 downto 0);
685 soSHL_ClsReq_tvalid :
out std_logic;
686 soSHL_ClsReq_TREADY :
in std_logic;
688 siSHL_ClsRep_tdata :
in std_logic_vector(
7 downto 0);
689 siSHL_ClsRep_tvalid :
in std_logic;
690 siSHL_ClsRep_tready :
out std_logic;
694 siSHL_Data_tdata :
in std_logic_vector(
63 downto 0);
695 siSHL_Data_tkeep :
in std_logic_vector(
7 downto 0);
696 siSHL_Data_tlast :
in std_logic;
697 siSHL_Data_tvalid :
in std_logic;
698 siSHL_Data_tready :
out std_logic;
700 siSHL_Meta_tdata :
in std_logic_vector(
95 downto 0);
701 siSHL_Meta_tvalid :
in std_logic;
702 siSHL_Meta_tready :
out std_logic;
704 siSHL_DLen_tdata :
in std_logic_vector(
15 downto 0);
705 siSHL_DLen_tvalid :
in std_logic;
706 siSHL_DLen_tready :
out std_logic;
710 soSHL_Data_tdata :
out std_logic_vector(
63 downto 0);
711 soSHL_Data_tkeep :
out std_logic_vector(
7 downto 0);
712 soSHL_Data_tlast :
out std_logic;
713 soSHL_Data_tvalid :
out std_logic;
714 soSHL_Data_tready :
in std_logic;
716 soSHL_Meta_tdata :
out std_logic_vector(
95 downto 0);
717 soSHL_Meta_tvalid :
out std_logic;
718 soSHL_Meta_tready :
in std_logic;
720 soSHL_DLen_tdata :
out std_logic_vector(
15 downto 0);
721 soSHL_DLen_tvalid :
out std_logic;
722 soSHL_DLen_tready :
in std_logic;
726 siUAF_Data_tdata :
in std_logic_vector(
63 downto 0);
727 siUAF_Data_tkeep :
in std_logic_vector(
7 downto 0);
728 siUAF_Data_tlast :
in std_logic;
729 siUAF_Data_tvalid :
in std_logic;
730 siUAF_Data_tready :
out std_logic;
732 siUAF_Meta_tdata :
in std_logic_vector(
95 downto 0);
733 siUAF_Meta_tvalid :
in std_logic;
734 siUAF_Meta_tready :
out std_logic;
736 siUAF_DLen_tdata :
in std_logic_vector(
15 downto 0);
737 siUAF_DLen_tvalid :
in std_logic;
738 siUAF_DLen_tready :
out std_logic;
742 soUAF_Data_tdata :
out std_logic_vector(
63 downto 0);
743 soUAF_Data_tkeep :
out std_logic_vector(
7 downto 0);
744 soUAF_Data_tlast :
out std_logic;
745 soUAF_Data_tvalid :
out std_logic;
746 soUAF_Data_tready :
in std_logic;
748 soUAF_Meta_tdata :
out std_logic_vector(
95 downto 0);
749 soUAF_Meta_tvalid :
out std_logic;
750 soUAF_Meta_tready :
in std_logic;
752 soUAF_DLen_tdata :
out std_logic_vector(
15 downto 0);
753 soUAF_DLen_tvalid :
out std_logic;
754 soUAF_DLen_tready :
in std_logic
756 end component UdpShellInterface_Deprecated;
758 component UdpShellInterface_ApFifo
is
763 ap_clk :
in std_logic;
764 ap_rst_n :
in std_logic;
768 piSHL_Mmio_En_V :
in std_logic;
772 soSHL_LsnReq_V_V_tdata :
out std_logic_vector(
15 downto 0);
773 soSHL_LsnReq_V_V_tvalid :
out std_logic;
774 soSHL_LsnReq_V_V_tready :
in std_logic;
776 siSHL_LsnRep_V_tdata :
in std_logic_vector(
7 downto 0);
777 siSHL_LsnRep_V_tvalid :
in std_logic;
778 siSHL_LsnRep_V_tready :
out std_logic;
780 soSHL_ClsReq_V_V_tdata :
out std_logic_vector(
15 downto 0);
781 soSHL_ClsReq_V_V_tvalid :
out std_logic;
782 soSHL_ClsReq_V_V_tready :
in std_logic;
784 siSHL_ClsRep_V_tdata :
in std_logic_vector(
7 downto 0);
785 siSHL_ClsRep_V_tvalid :
in std_logic;
786 siSHL_ClsRep_V_tready :
out std_logic;
790 siSHL_Data_tdata :
in std_logic_vector(
63 downto 0);
791 siSHL_Data_tkeep :
in std_logic_vector(
7 downto 0);
792 siSHL_Data_tlast :
in std_logic;
793 siSHL_Data_tvalid :
in std_logic;
794 siSHL_Data_tready :
out std_logic;
796 siSHL_Meta_V_tdata :
in std_logic_vector(
95 downto 0);
797 siSHL_Meta_V_tvalid :
in std_logic;
798 siSHL_Meta_V_tready :
out std_logic;
800 siSHL_DLen_V_V_tdata :
in std_logic_vector(
15 downto 0);
801 siSHL_DLen_V_V_tvalid :
in std_logic;
802 siSHL_DLen_V_V_tready :
out std_logic;
806 soSHL_Data_tdata :
out std_logic_vector(
63 downto 0);
807 soSHL_Data_tkeep :
out std_logic_vector(
7 downto 0);
808 soSHL_Data_tlast :
out std_logic;
809 soSHL_Data_tvalid :
out std_logic;
810 soSHL_Data_tready :
in std_logic;
812 soSHL_Meta_V_tdata :
out std_logic_vector(
95 downto 0);
813 soSHL_Meta_V_tvalid :
out std_logic;
814 soSHL_Meta_V_tready :
in std_logic;
816 soSHL_DLen_V_V_tdata :
out std_logic_vector(
15 downto 0);
817 soSHL_DLen_V_V_tvalid :
out std_logic;
818 soSHL_DLen_V_V_tready :
in std_logic;
822 siUAF_Data_V_dout :
in std_logic_vector(
72 downto 0);
823 siUAF_Data_V_empty_n :
in std_logic;
824 siUAF_Data_V_read :
out std_logic;
826 siUAF_Meta_V_dout :
in std_logic_vector(
95 downto 0);
827 siUAF_Meta_V_empty_n :
in std_logic;
828 siUAF_Meta_V_read :
out std_logic;
830 siUAF_DLen_V_V_dout :
in std_logic_vector(
15 downto 0);
831 siUAF_DLen_V_V_empty_n :
in std_logic;
832 siUAF_DLen_V_V_read :
out std_logic;
836 soUAF_Data_V_din :
out std_logic_vector(
72 downto 0);
837 soUAF_Data_V_write :
out std_logic;
838 soUAF_Data_V_full_n :
in std_logic;
840 soUAF_Meta_V_din :
out std_logic_vector(
95 downto 0);
841 soUAF_Meta_V_write :
out std_logic;
842 soUAF_Meta_V_full_n :
in std_logic;
844 soUAF_DLen_V_din :
out std_logic_vector(
15 downto 0);
845 soUAF_DLen_V_write :
out std_logic;
846 soUAF_DLen_V_full_n :
in std_logic
849 end component UdpShellInterface_ApFifo;
851 component UdpShellInterface
is
856 ap_clk :
in std_logic;
857 ap_rst_n :
in std_logic;
861 piSHL_Mmio_En_V :
in std_logic;
865 soSHL_LsnReq_V_V_tdata :
out std_logic_vector(
15 downto 0);
866 soSHL_LsnReq_V_V_tvalid :
out std_logic;
867 soSHL_LsnReq_V_V_tready :
in std_logic;
869 siSHL_LsnRep_V_tdata :
in std_logic_vector(
7 downto 0);
870 siSHL_LsnRep_V_tvalid :
in std_logic;
871 siSHL_LsnRep_V_tready :
out std_logic;
873 soSHL_ClsReq_V_V_tdata :
out std_logic_vector(
15 downto 0);
874 soSHL_ClsReq_V_V_tvalid :
out std_logic;
875 soSHL_ClsReq_V_V_tready :
in std_logic;
877 siSHL_ClsRep_V_tdata :
in std_logic_vector(
7 downto 0);
878 siSHL_ClsRep_V_tvalid :
in std_logic;
879 siSHL_ClsRep_V_tready :
out std_logic;
883 siSHL_Data_tdata :
in std_logic_vector(
63 downto 0);
884 siSHL_Data_tkeep :
in std_logic_vector(
7 downto 0);
885 siSHL_Data_tlast :
in std_logic;
886 siSHL_Data_tvalid :
in std_logic;
887 siSHL_Data_tready :
out std_logic;
889 siSHL_Meta_V_tdata :
in std_logic_vector(
95 downto 0);
890 siSHL_Meta_V_tvalid :
in std_logic;
891 siSHL_Meta_V_tready :
out std_logic;
893 siSHL_DLen_V_V_tdata :
in std_logic_vector(
15 downto 0);
894 siSHL_DLen_V_V_tvalid :
in std_logic;
895 siSHL_DLen_V_V_tready :
out std_logic;
899 soSHL_Data_tdata :
out std_logic_vector(
63 downto 0);
900 soSHL_Data_tkeep :
out std_logic_vector(
7 downto 0);
901 soSHL_Data_tlast :
out std_logic;
902 soSHL_Data_tvalid :
out std_logic;
903 soSHL_Data_tready :
in std_logic;
905 soSHL_Meta_V_tdata :
out std_logic_vector(
95 downto 0);
906 soSHL_Meta_V_tvalid :
out std_logic;
907 soSHL_Meta_V_tready :
in std_logic;
909 soSHL_DLen_V_V_tdata :
out std_logic_vector(
15 downto 0);
910 soSHL_DLen_V_V_tvalid :
out std_logic;
911 soSHL_DLen_V_V_tready :
in std_logic;
915 siUAF_Data_tdata :
in std_logic_vector(
63 downto 0);
916 siUAF_Data_tkeep :
in std_logic_vector(
7 downto 0);
917 siUAF_Data_tlast :
in std_logic;
918 siUAF_Data_tvalid :
in std_logic;
919 siUAF_Data_tready :
out std_logic;
921 siUAF_Meta_V_tdata :
in std_logic_vector(
95 downto 0);
922 siUAF_Meta_V_tvalid :
in std_logic;
923 siUAF_Meta_V_tready :
out std_logic;
925 siUAF_DLen_V_V_tdata :
in std_logic_vector(
15 downto 0);
926 siUAF_DLen_V_V_tvalid :
in std_logic;
927 siUAF_DLen_V_V_tready :
out std_logic;
931 soUAF_Data_tdata :
out std_logic_vector(
63 downto 0);
932 soUAF_Data_tkeep :
out std_logic_vector(
7 downto 0);
933 soUAF_Data_tlast :
out std_logic;
934 soUAF_Data_tvalid :
out std_logic;
935 soUAF_Data_tready :
in std_logic;
937 soUAF_Meta_V_tdata :
out std_logic_vector(
95 downto 0);
938 soUAF_Meta_V_tvalid :
out std_logic;
939 soUAF_Meta_V_tready :
in std_logic;
941 soUAF_DLen_V_V_tdata :
out std_logic_vector(
15 downto 0);
942 soUAF_DLen_V_V_tvalid :
out std_logic;
943 soUAF_DLen_V_V_tready :
in std_logic
945 end component UdpShellInterface;
947 component TcpApplicationFlash_Deprecated
is
953 aresetn :
in std_logic;
963 siTSIF_Data_tdata :
in std_logic_vector(
63 downto 0);
964 siTSIF_Data_tkeep :
in std_logic_vector(
7 downto 0);
965 siTSIF_Data_tlast :
in std_logic;
966 siTSIF_Data_tvalid :
in std_logic;
967 siTSIF_Data_tready :
out std_logic;
969 siTSIF_SessId_tdata :
in std_logic_vector(
15 downto 0);
970 siTSIF_SessId_tvalid :
in std_logic;
971 siTSIF_SessId_tready :
out std_logic;
973 siTSIF_DatLen_tdata :
in std_logic_vector(
15 downto 0);
974 siTSIF_DatLen_tvalid :
in std_logic;
975 siTSIF_DatLen_tready :
out std_logic;
979 soTSIF_Data_tdata :
out std_logic_vector(
63 downto 0);
980 soTSIF_Data_tkeep :
out std_logic_vector(
7 downto 0);
981 soTSIF_Data_tlast :
out std_logic;
982 soTSIF_Data_tvalid :
out std_logic;
983 soTSIF_Data_tready :
in std_logic;
985 soTSIF_SessId_tdata :
out std_logic_vector(
15 downto 0);
986 soTSIF_SessId_tvalid :
out std_logic;
987 soTSIF_SessId_tready :
in std_logic;
989 soTSIF_DatLen_tdata :
out std_logic_vector(
15 downto 0);
990 soTSIF_DatLen_tvalid :
out std_logic;
991 soTSIF_DatLen_tready :
in std_logic
993 end component TcpApplicationFlash_Deprecated;
995 component TcpApplicationFlash
is
1000 ap_clk :
in std_logic;
1001 ap_rst_n :
in std_logic;
1011 siTSIF_Data_tdata :
in std_logic_vector(
63 downto 0);
1012 siTSIF_Data_tkeep :
in std_logic_vector(
7 downto 0);
1013 siTSIF_Data_tlast :
in std_logic;
1014 siTSIF_Data_tvalid :
in std_logic;
1015 siTSIF_Data_tready :
out std_logic;
1017 siTSIF_SessId_V_V_tdata :
in std_logic_vector(
15 downto 0);
1018 siTSIF_SessId_V_V_tvalid :
in std_logic;
1019 siTSIF_SessId_V_V_tready :
out std_logic;
1021 siTSIF_DatLen_V_V_tdata :
in std_logic_vector(
15 downto 0);
1022 siTSIF_DatLen_V_V_tvalid :
in std_logic;
1023 siTSIF_DatLen_V_V_tready :
out std_logic;
1027 soTSIF_Data_tdata :
out std_logic_vector(
63 downto 0);
1028 soTSIF_Data_tkeep :
out std_logic_vector(
7 downto 0);
1029 soTSIF_Data_tlast :
out std_logic;
1030 soTSIF_Data_tvalid :
out std_logic;
1031 soTSIF_Data_tready :
in std_logic;
1033 soTSIF_SessId_V_V_tdata :
out std_logic_vector(
15 downto 0);
1034 soTSIF_SessId_V_V_tvalid :
out std_logic;
1035 soTSIF_SessId_V_V_tready :
in std_logic;
1037 soTSIF_DatLen_V_V_tdata :
out std_logic_vector(
15 downto 0);
1038 soTSIF_DatLen_V_V_tvalid :
out std_logic;
1039 soTSIF_DatLen_V_V_tready :
in std_logic
1041 end component TcpApplicationFlash;
1043 component TcpShellInterface_Deprecated
is
1048 aclk :
in std_ulogic;
1049 aresetn :
in std_ulogic;
1053 piSHL_Mmio_En_V :
in std_ulogic;
1059 siTAF_Data_tdata :
in std_ulogic_vector(
63 downto 0);
1060 siTAF_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
1061 siTAF_Data_tlast :
in std_ulogic;
1062 siTAF_Data_tvalid :
in std_ulogic;
1063 siTAF_Data_tready :
out std_ulogic;
1065 siTAF_SessId_tdata :
in std_ulogic_vector(
15 downto 0);
1066 siTAF_SessId_tvalid :
in std_ulogic;
1067 siTAF_SessId_tready :
out std_ulogic;
1069 siTAF_DatLen_tdata :
in std_ulogic_vector(
15 downto 0);
1070 siTAF_DatLen_tvalid :
in std_ulogic;
1071 siTAF_DatLen_tready :
out std_ulogic;
1077 soTAF_Data_tdata :
out std_ulogic_vector(
63 downto 0);
1078 soTAF_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
1079 soTAF_Data_tlast :
out std_ulogic;
1080 soTAF_Data_tvalid :
out std_ulogic;
1081 soTAF_Data_tready :
in std_ulogic;
1083 soTAF_SessId_tdata :
out std_ulogic_vector(
15 downto 0);
1084 soTAF_SessId_tvalid :
out std_ulogic;
1085 soTAF_SessId_tready :
in std_ulogic;
1087 soTAF_DatLen_tdata :
out std_ulogic_vector(
15 downto 0);
1088 soTAF_DatLen_tvalid :
out std_ulogic;
1089 soTAF_DatLen_tready :
in std_ulogic;
1094 siSHL_Notif_tdata :
in std_ulogic_vector(
7+96 downto 0);
1095 siSHL_Notif_tvalid :
in std_ulogic;
1096 siSHL_Notif_tready :
out std_ulogic;
1098 soSHL_DReq_tdata :
out std_ulogic_vector(
31 downto 0);
1099 soSHL_DReq_tvalid :
out std_ulogic;
1100 soSHL_DReq_tready :
in std_ulogic;
1102 siSHL_Data_tdata :
in std_ulogic_vector(
63 downto 0);
1103 siSHL_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
1104 siSHL_Data_tlast :
in std_ulogic;
1105 siSHL_Data_tvalid :
in std_ulogic;
1106 siSHL_Data_tready :
out std_ulogic;
1108 siSHL_Meta_tdata :
in std_ulogic_vector(
15 downto 0);
1109 siSHL_Meta_tvalid :
in std_ulogic;
1110 siSHL_Meta_tready :
out std_ulogic;
1116 soSHL_LsnReq_tdata :
out std_ulogic_vector(
15 downto 0);
1117 soSHL_LsnReq_tvalid :
out std_ulogic;
1118 soSHL_LsnReq_tready :
in std_ulogic;
1120 siSHL_LsnRep_tdata :
in std_ulogic_vector(
7 downto 0);
1121 siSHL_LsnRep_tvalid :
in std_ulogic;
1122 siSHL_LsnRep_tready :
out std_ulogic;
1127 soSHL_Data_tdata :
out std_ulogic_vector(
63 downto 0);
1128 soSHL_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
1129 soSHL_Data_tlast :
out std_ulogic;
1130 soSHL_Data_tvalid :
out std_ulogic;
1131 soSHL_Data_tready :
in std_ulogic;
1133 soSHL_SndReq_tdata :
out std_ulogic_vector(
31 downto 0);
1134 soSHL_SndReq_tvalid :
out std_ulogic;
1135 soSHL_SndReq_tready :
in std_ulogic;
1137 siSHL_SndRep_tdata :
in std_ulogic_vector(
55 downto 0);
1138 siSHL_SndRep_tvalid :
in std_ulogic;
1139 siSHL_SndRep_tready :
out std_ulogic;
1145 soSHL_OpnReq_tdata :
out std_ulogic_vector(
47 downto 0);
1146 soSHL_OpnReq_tvalid :
out std_ulogic;
1147 soSHL_OpnReq_tready :
in std_ulogic;
1149 siSHL_OpnRep_tdata :
in std_ulogic_vector(
23 downto 0);
1150 siSHL_OpnRep_tvalid :
in std_ulogic;
1151 siSHL_OpnRep_tready :
out std_ulogic;
1153 soSHL_ClsReq_tdata :
out std_ulogic_vector(
15 downto 0);
1154 soSHL_ClsReq_tvalid :
out std_ulogic;
1155 soSHL_ClsReq_tready :
in std_ulogic
1157 end component TcpShellInterface_Deprecated;
1159 component TcpShellInterface
is
1164 ap_clk :
in std_ulogic;
1165 ap_rst_n :
in std_ulogic;
1169 piSHL_Mmio_En_V :
in std_ulogic;
1175 siTAF_Data_tdata :
in std_ulogic_vector(
63 downto 0);
1176 siTAF_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
1177 siTAF_Data_tlast :
in std_ulogic;
1178 siTAF_Data_tvalid :
in std_ulogic;
1179 siTAF_Data_tready :
out std_ulogic;
1181 siTAF_SessId_V_V_tdata :
in std_ulogic_vector(
15 downto 0);
1182 siTAF_SessId_V_V_tvalid :
in std_ulogic;
1183 siTAF_SessId_V_V_tready :
out std_ulogic;
1185 siTAF_DatLen_V_V_tdata :
in std_ulogic_vector(
15 downto 0);
1186 siTAF_DatLen_V_V_tvalid :
in std_ulogic;
1187 siTAF_DatLen_V_V_tready :
out std_ulogic;
1193 soTAF_Data_tdata :
out std_ulogic_vector(
63 downto 0);
1194 soTAF_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
1195 soTAF_Data_tlast :
out std_ulogic;
1196 soTAF_Data_tvalid :
out std_ulogic;
1197 soTAF_Data_tready :
in std_ulogic;
1199 soTAF_SessId_V_V_tdata :
out std_ulogic_vector(
15 downto 0);
1200 soTAF_SessId_V_V_tvalid :
out std_ulogic;
1201 soTAF_SessId_V_V_tready :
in std_ulogic;
1203 soTAF_DatLen_V_V_tdata :
out std_ulogic_vector(
15 downto 0);
1204 soTAF_DatLen_V_V_tvalid :
out std_ulogic;
1205 soTAF_DatLen_V_V_tready :
in std_ulogic;
1210 siSHL_Notif_V_tdata :
in std_ulogic_vector(
103 downto 0);
1211 siSHL_Notif_V_tvalid :
in std_ulogic;
1212 siSHL_Notif_V_tready :
out std_ulogic;
1214 soSHL_DReq_V_tdata :
out std_ulogic_vector(
31 downto 0);
1215 soSHL_DReq_V_tvalid :
out std_ulogic;
1216 soSHL_DReq_V_tready :
in std_ulogic;
1218 siSHL_Data_tdata :
in std_ulogic_vector(
63 downto 0);
1219 siSHL_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
1220 siSHL_Data_tlast :
in std_ulogic;
1221 siSHL_Data_tvalid :
in std_ulogic;
1222 siSHL_Data_tready :
out std_ulogic;
1224 siSHL_Meta_V_V_tdata :
in std_ulogic_vector(
15 downto 0);
1225 siSHL_Meta_V_V_tvalid :
in std_ulogic;
1226 siSHL_Meta_V_V_tready :
out std_ulogic;
1232 soSHL_LsnReq_V_V_tdata :
out std_ulogic_vector(
15 downto 0);
1233 soSHL_LsnReq_V_V_tvalid :
out std_ulogic;
1234 soSHL_LsnReq_V_V_tready :
in std_ulogic;
1236 siSHL_LsnRep_V_tdata :
in std_ulogic_vector(
7 downto 0);
1237 siSHL_LsnRep_V_tvalid :
in std_ulogic;
1238 siSHL_LsnRep_V_tready :
out std_ulogic;
1243 soSHL_Data_tdata :
out std_ulogic_vector(
63 downto 0);
1244 soSHL_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
1245 soSHL_Data_tlast :
out std_ulogic;
1246 soSHL_Data_tvalid :
out std_ulogic;
1247 soSHL_Data_tready :
in std_ulogic;
1249 soSHL_SndReq_V_tdata :
out std_ulogic_vector(
31 downto 0);
1250 soSHL_SndReq_V_tvalid :
out std_ulogic;
1251 soSHL_SndReq_V_tready :
in std_ulogic;
1253 siSHL_SndRep_V_tdata :
in std_ulogic_vector(
55 downto 0);
1254 siSHL_SndRep_V_tvalid :
in std_ulogic;
1255 siSHL_SndRep_V_tready :
out std_ulogic;
1261 soSHL_OpnReq_V_tdata :
out std_ulogic_vector(
47 downto 0);
1262 soSHL_OpnReq_V_tvalid :
out std_ulogic;
1263 soSHL_OpnReq_V_tready :
in std_ulogic;
1265 siSHL_OpnRep_V_tdata :
in std_ulogic_vector(
23 downto 0);
1266 siSHL_OpnRep_V_tvalid :
in std_ulogic;
1267 siSHL_OpnRep_V_tready :
out std_ulogic;
1269 soSHL_ClsReq_V_V_tdata :
out std_ulogic_vector(
15 downto 0);
1270 soSHL_ClsReq_V_V_tvalid :
out std_ulogic;
1271 soSHL_ClsReq_V_V_tready :
in std_ulogic;
1276 soDBG_SinkCnt_V_V_tdata :
out std_ulogic_vector(
31 downto 0);
1277 soDBG_SinkCnt_V_V_tvalid:
out std_ulogic;
1278 soDBG_SinkCnt_V_V_tready:
in std_ulogic;
1280 soDBG_InpBufSpace_V_V_tdata :
out std_ulogic_vector(
15 downto 0);
1281 soDBG_InpBufSpace_V_V_tvalid:
out std_ulogic;
1282 soDBG_InpBufSpace_V_V_tready:
in std_ulogic
1284 end component TcpShellInterface;
1286 component MemTestFlash
is
1291 ap_clk :
in std_logic;
1292 ap_rst_n :
in std_logic;
1296 ap_start :
in std_logic;
1297 ap_done :
out std_logic;
1298 ap_idle :
out std_logic;
1299 ap_ready :
out std_logic;
1303 piSysReset_V :
in std_logic_vector(
0 downto 0);
1304 piSysReset_V_ap_vld :
in std_logic;
1308 piMMIO_diag_ctrl_V :
in std_logic_vector(
1 downto 0);
1309 piMMIO_diag_ctrl_V_ap_vld :
in std_logic;
1310 poMMIO_diag_stat_V :
out std_logic_vector(
1 downto 0);
1311 poMMIO_diag_stat_V_ap_vld :
out std_logic;
1312 poDebug_V :
out std_logic_vector(
15 downto 0);
1313 poDebug_V_ap_vld :
out std_logic;
1318 soMemRdCmdP0_TDATA :
out std_logic_vector(
79 downto 0);
1319 soMemRdCmdP0_TVALID :
out std_logic;
1320 soMemRdCmdP0_TREADY :
in std_logic;
1322 siMemRdStsP0_TDATA :
in std_logic_vector(
7 downto 0);
1323 siMemRdStsP0_TVALID :
in std_logic;
1324 siMemRdStsP0_TREADY :
out std_logic;
1326 siMemReadP0_TDATA :
in std_logic_vector(
511 downto 0);
1327 siMemReadP0_TKEEP :
in std_logic_vector(
63 downto 0);
1328 siMemReadP0_TLAST :
in std_logic_vector(
0 downto 0);
1329 siMemReadP0_TVALID :
in std_logic;
1330 siMemReadP0_TREADY :
out std_logic;
1332 soMemWrCmdP0_TDATA :
out std_logic_vector(
79 downto 0);
1333 soMemWrCmdP0_TVALID :
out std_logic;
1334 soMemWrCmdP0_TREADY :
in std_logic;
1336 siMemWrStsP0_TDATA :
in std_logic_vector(
7 downto 0);
1337 siMemWrStsP0_TVALID :
in std_logic;
1338 siMemWrStsP0_TREADY :
out std_logic;
1340 soMemWriteP0_TDATA :
out std_logic_vector(
511 downto 0);
1341 soMemWriteP0_TKEEP :
out std_logic_vector(
63 downto 0);
1342 soMemWriteP0_TLAST :
out std_logic_vector(
0 downto 0);
1343 soMemWriteP0_TVALID :
out std_logic;
1344 soMemWriteP0_TREADY :
in std_logic
1346 end component MemTestFlash;
1348 component AxisRegisterSlice_64_8_1
1350 aclk :
in std_logic;
1351 aresetn :
in std_logic;
1352 s_axis_tdata :
in std_logic_vector(
63 downto 0);
1353 s_axis_tkeep :
in std_logic_vector(
7 downto 0);
1354 s_axis_tlast :
in std_logic;
1355 s_axis_tvalid :
in std_logic;
1356 s_axis_tready :
out std_logic;
1357 m_axis_tdata :
out std_logic_vector(
63 downto 0);
1358 m_axis_tkeep :
out std_logic_vector(
7 downto 0);
1359 m_axis_tlast :
out std_logic;
1360 m_axis_tvalid :
out std_logic;
1361 m_axis_tready :
in std_logic
1363 end component AxisRegisterSlice_64_8_1;
1365 component AxisRegisterSlice_32
1367 aclk :
in std_logic;
1368 aresetn :
in std_logic;
1369 s_axis_tdata :
in std_logic_vector(
31 downto 0);
1370 s_axis_tvalid :
in std_logic;
1371 s_axis_tready :
out std_logic;
1372 m_axis_tdata :
out std_logic_vector(
31 downto 0);
1373 m_axis_tvalid :
out std_logic;
1374 m_axis_tready :
in std_logic
1376 end component AxisRegisterSlice_32;
1378 component AxisRegisterSlice_16
1380 aclk :
in std_logic;
1381 aresetn :
in std_logic;
1382 s_axis_tvalid :
in std_logic;
1383 s_axis_tready :
out std_logic;
1384 s_axis_tdata :
in std_logic_vector(
15 downto 0);
1385 m_axis_tvalid :
out std_logic;
1386 m_axis_tready :
in std_logic;
1387 m_axis_tdata :
out std_logic_vector(
15 downto 0)
1389 end component AxisRegisterSlice_16;
1391 component AxisRegisterSlice_96
1393 aclk :
in std_logic;
1394 aresetn :
in std_logic;
1395 s_axis_tvalid :
in std_logic;
1396 s_axis_tready :
out std_logic;
1397 s_axis_tdata :
in std_logic_vector(
95 downto 0);
1398 m_axis_tvalid :
out std_logic;
1399 m_axis_tready :
in std_logic;
1400 m_axis_tdata :
out std_logic_vector(
95 downto 0)
1402 end component AxisRegisterSlice_96;
1404 component Fifo_16x16
is
1407 srst :
in std_logic;
1408 din :
in std_logic_vector(
15 downto 0);
1409 wr_en :
in std_logic;
1410 rd_en :
in std_logic;
1411 dout :
out std_logic_vector(
15 downto 0);
1412 full :
out std_logic;
1413 empty :
out std_logic;
1414 wr_rst_busy :
out std_logic;
1415 rd_rst_busy :
out std_logic
1417 end component Fifo_16x16;
1419 component Fifo_16x73
is
1422 srst :
in std_logic;
1423 din :
in std_logic_vector(
72 downto 0);
1424 wr_en :
in std_logic;
1425 rd_en :
in std_logic;
1426 dout :
out std_logic_vector(
72 downto 0);
1427 full :
out std_logic;
1428 empty :
out std_logic;
1429 wr_rst_busy :
out std_logic;
1430 rd_rst_busy :
out std_logic
1432 end component Fifo_16x73;
1434 component Fifo_16x96
is
1437 srst :
in std_logic;
1438 din :
in std_logic_vector(
95 downto 0);
1439 wr_en :
in std_logic;
1440 rd_en :
in std_logic;
1441 dout :
out std_logic_vector(
95 downto 0);
1442 full :
out std_logic;
1443 empty :
out std_logic;
1444 wr_rst_busy :
out std_logic;
1445 rd_rst_busy :
out std_logic
1447 end component Fifo_16x96;
1452 function fVectorize(s:
std_ulogic)
return std_ulogic_vector is
1453 variable v: std_ulogic_vector(0 downto 0);
1459 function fScalarize(v:
in std_ulogic_vector)
return std_ulogic is
1462 report "scalarize: output port must be single bit!"
1493 USIF : UdpShellInterface_Deprecated
1499 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1557 siUAF_Data_tdata => ssUAF_USIF_Data_tdata,
1558 siUAF_Data_tkeep => ssUAF_USIF_Data_tkeep,
1559 siUAF_Data_tlast => ssUAF_USIF_Data_tlast,
1560 siUAF_Data_tvalid => ssUAF_USIF_Data_tvalid,
1561 siUAF_Data_tready => ssUAF_USIF_Data_tready,
1563 siUAF_Meta_tdata => ssUAF_USIF_Meta_tdata,
1564 siUAF_Meta_tvalid => ssUAF_USIF_Meta_tvalid,
1565 siUAF_Meta_tready => ssUAF_USIF_Meta_tready,
1567 siUAF_DLen_tdata => ssUAF_USIF_DLen_tdata,
1568 siUAF_DLen_tvalid => ssUAF_USIF_DLen_tvalid,
1569 siUAF_DLen_tready => ssUAF_USIF_DLen_tready,
1573 soUAF_Data_tdata => ssUSIF_UAF_Data_tdata,
1574 soUAF_Data_tkeep => ssUSIF_UAF_Data_tkeep,
1575 soUAF_Data_tlast => ssUSIF_UAF_Data_tlast,
1576 soUAF_Data_tvalid => ssUSIF_UAF_Data_tvalid,
1577 soUAF_Data_tready => ssUSIF_UAF_Data_tready,
1579 soUAF_Meta_tdata => ssUSIF_UAF_Meta_tdata,
1580 soUAF_Meta_tvalid => ssUSIF_UAF_Meta_tvalid,
1581 soUAF_Meta_tready => ssUSIF_UAF_Meta_tready,
1583 soUAF_DLen_tdata => ssUSIF_UAF_DLen_tdata,
1584 soUAF_DLen_tvalid => ssUSIF_UAF_DLen_tvalid,
1585 soUAF_DLen_tready => ssUSIF_UAF_DLen_tready
1588 USIF : UdpShellInterface
1594 ap_rst_n =>
not piSHL_Mmio_Ly7Rst ,
1652 siUAF_Data_tdata => ssUARS_USIF_Data_tdata,
1653 siUAF_Data_tkeep => ssUARS_USIF_Data_tkeep,
1654 siUAF_Data_tlast => ssUARS_USIF_Data_tlast,
1655 siUAF_Data_tvalid => ssUARS_USIF_Data_tvalid,
1656 siUAF_Data_tready => ssUARS_USIF_Data_tready,
1658 siUAF_Meta_V_tdata => ssUARS_USIF_Meta_tdata,
1659 siUAF_Meta_V_tvalid => ssUARS_USIF_Meta_tvalid,
1660 siUAF_Meta_V_tready => ssUARS_USIF_Meta_tready,
1662 siUAF_DLen_V_V_tdata => ssUARS_USIF_DLen_tdata,
1663 siUAF_DLen_V_V_tvalid => ssUARS_USIF_DLen_tvalid,
1664 siUAF_DLen_V_V_tready => ssUARS_USIF_DLen_tready,
1668 soUAF_Data_tdata => ssUSIF_UARS_Data_tdata,
1669 soUAF_Data_tkeep => ssUSIF_UARS_Data_tkeep,
1670 soUAF_Data_tlast => ssUSIF_UARS_Data_tlast,
1671 soUAF_Data_tvalid => ssUSIF_UARS_Data_tvalid,
1672 soUAF_Data_tready => ssUSIF_UARS_Data_tready,
1674 soUAF_Meta_V_tdata => ssUSIF_UARS_Meta_tdata,
1675 soUAF_Meta_V_tvalid => ssUSIF_UARS_Meta_tvalid,
1676 soUAF_Meta_V_tready => ssUSIF_UARS_Meta_tready,
1678 soUAF_DLen_V_V_tdata => ssUSIF_UARS_DLen_tdata,
1679 soUAF_DLen_V_V_tvalid => ssUSIF_UARS_DLen_tvalid,
1680 soUAF_DLen_V_V_tready => ssUSIF_UARS_DLen_tready
1704 UAF : UdpApplicationFlash_Deprecated
1710 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1721 siUSIF_Data_tdata => ssUSIF_UAF_Data_tdata,
1722 siUSIF_Data_tkeep => ssUSIF_UAF_Data_tkeep,
1723 siUSIF_Data_tlast => ssUSIF_UAF_Data_tlast,
1724 siUSIF_Data_tvalid => ssUSIF_UAF_Data_tvalid,
1725 siUSIF_Data_tready => ssUSIF_UAF_Data_tready,
1727 siUSIF_Meta_tdata => ssUSIF_UAF_Meta_tdata,
1728 siUSIF_Meta_tvalid => ssUSIF_UAF_Meta_tvalid,
1729 siUSIF_Meta_tready => ssUSIF_UAF_Meta_tready,
1731 siUSIF_DLen_tdata => ssUSIF_UAF_DLen_tdata,
1732 siUSIF_DLen_tvalid => ssUSIF_UAF_DLen_tvalid,
1733 siUSIF_DLen_tready => ssUSIF_UAF_DLen_tready,
1737 soUSIF_Data_tdata => ssUAF_USIF_Data_tdata ,
1738 soUSIF_Data_tkeep => ssUAF_USIF_Data_tkeep ,
1739 soUSIF_Data_tlast => ssUAF_USIF_Data_tlast ,
1740 soUSIF_Data_tvalid => ssUAF_USIF_Data_tvalid,
1741 soUSIF_Data_tready => ssUAF_USIF_Data_tready,
1743 soUSIF_Meta_tdata => ssUAF_USIF_Meta_tdata ,
1744 soUSIF_Meta_tvalid => ssUAF_USIF_Meta_tvalid,
1745 soUSIF_Meta_tready => ssUAF_USIF_Meta_tready,
1747 soUSIF_DLen_tdata => ssUAF_USIF_DLen_tdata ,
1748 soUSIF_DLen_tvalid => ssUAF_USIF_DLen_tvalid,
1749 soUSIF_DLen_tready => ssUAF_USIF_DLen_tready
1752 UAF : UdpApplicationFlash
1758 ap_rst_n =>
not piSHL_Mmio_Ly7Rst ,
1769 siUSIF_Data_tdata => ssUARS_UAF_Data_tdata,
1770 siUSIF_Data_tkeep => ssUARS_UAF_Data_tkeep,
1771 siUSIF_Data_tlast => ssUARS_UAF_Data_tlast,
1772 siUSIF_Data_tvalid => ssUARS_UAF_Data_tvalid,
1773 siUSIF_Data_tready => ssUARS_UAF_Data_tready,
1775 siUSIF_Meta_V_tdata => ssUARS_UAF_Meta_tdata,
1776 siUSIF_Meta_V_tvalid => ssUARS_UAF_Meta_tvalid,
1777 siUSIF_Meta_V_tready => ssUARS_UAF_Meta_tready,
1779 siUSIF_DLen_V_V_tdata => ssUARS_UAF_DLen_tdata,
1780 siUSIF_DLen_V_V_tvalid=> ssUARS_UAF_DLen_tvalid,
1781 siUSIF_DLen_V_V_tready=> ssUARS_UAF_DLen_tready,
1785 soUSIF_Data_tdata => ssUAF_UARS_Data_tdata ,
1786 soUSIF_Data_tkeep => ssUAF_UARS_Data_tkeep ,
1787 soUSIF_Data_tlast => ssUAF_UARS_Data_tlast ,
1788 soUSIF_Data_tvalid => ssUAF_UARS_Data_tvalid,
1789 soUSIF_Data_tready => ssUAF_UARS_Data_tready,
1791 soUSIF_Meta_V_tdata => ssUAF_UARS_Meta_tdata ,
1792 soUSIF_Meta_V_tvalid => ssUAF_UARS_Meta_tvalid,
1793 soUSIF_Meta_V_tready => ssUAF_UARS_Meta_tready,
1795 soUSIF_DLen_V_V_tdata => ssUAF_UARS_DLen_tdata ,
1796 soUSIF_DLen_V_V_tvalid => ssUAF_UARS_DLen_tvalid,
1797 soUSIF_DLen_V_V_tready => ssUAF_UARS_DLen_tready
1812 ARS_UDP_RX_DATA : AxisRegisterSlice_64_8_1
1815 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1816 s_axis_tdata => ssUSIF_UARS_Data_tdata,
1817 s_axis_tkeep => ssUSIF_UARS_Data_tkeep,
1818 s_axis_tlast => ssUSIF_UARS_Data_tlast,
1819 s_axis_tvalid => ssUSIF_UARS_Data_tvalid,
1820 s_axis_tready => ssUSIF_UARS_Data_tready,
1822 m_axis_tdata => ssUARS_UAF_Data_tdata,
1823 m_axis_tkeep => ssUARS_UAF_Data_tkeep,
1824 m_axis_tlast => ssUARS_UAF_Data_tlast,
1825 m_axis_tvalid => ssUARS_UAF_Data_tvalid,
1826 m_axis_tready => ssUARS_UAF_Data_tready
1828 ARS_UDP_RX_META : AxisRegisterSlice_96
1831 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1832 s_axis_tdata => ssUSIF_UARS_Meta_tdata,
1833 s_axis_tvalid => ssUSIF_UARS_Meta_tvalid,
1834 s_axis_tready => ssUSIF_UARS_Meta_tready,
1836 m_axis_tdata => ssUARS_UAF_Meta_tdata,
1837 m_axis_tvalid => ssUARS_UAF_Meta_tvalid,
1838 m_axis_tready => ssUARS_UAF_Meta_tready
1841 ARS_UDP_RX_DLEN : AxisRegisterSlice_16
1844 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1845 s_axis_tdata => ssUSIF_UARS_DLen_tdata,
1846 s_axis_tvalid => ssUSIF_UARS_DLen_tvalid,
1847 s_axis_tready => ssUSIF_UARS_DLen_tready,
1849 m_axis_tdata => ssUARS_UAF_DLen_tdata,
1850 m_axis_tvalid => ssUARS_UAF_DLen_tvalid,
1851 m_axis_tready => ssUARS_UAF_DLen_tready
1854 ARS_UDP_TX_DATA : AxisRegisterSlice_64_8_1
1857 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1858 s_axis_tdata => ssUAF_UARS_Data_tdata,
1859 s_axis_tkeep => ssUAF_UARS_Data_tkeep,
1860 s_axis_tlast => ssUAF_UARS_Data_tlast,
1861 s_axis_tvalid => ssUAF_UARS_Data_tvalid,
1862 s_axis_tready => ssUAF_UARS_Data_tready,
1864 m_axis_tdata => ssUARS_USIF_Data_tdata,
1865 m_axis_tkeep => ssUARS_USIF_Data_tkeep,
1866 m_axis_tlast => ssUARS_USIF_Data_tlast,
1867 m_axis_tvalid => ssUARS_USIF_Data_tvalid,
1868 m_axis_tready => ssUARS_USIF_Data_tready
1870 ARS_UDP_TX_META : AxisRegisterSlice_96
1873 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1874 s_axis_tdata => ssUAF_UARS_Meta_tdata,
1875 s_axis_tvalid => ssUAF_UARS_Meta_tvalid,
1876 s_axis_tready => ssUAF_UARS_Meta_tready,
1878 m_axis_tdata => ssUARS_USIF_Meta_tdata,
1879 m_axis_tvalid => ssUARS_USIF_Meta_tvalid,
1880 m_axis_tready => ssUARS_USIF_Meta_tready
1882 ARS_UDP_TX_DLEN : AxisRegisterSlice_16
1885 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1886 s_axis_tdata => ssUAF_UARS_DLen_tdata,
1887 s_axis_tvalid => ssUAF_UARS_DLen_tvalid,
1888 s_axis_tready => ssUAF_UARS_DLen_tready,
1890 m_axis_tdata => ssUARS_USIF_DLen_tdata,
1891 m_axis_tvalid => ssUARS_USIF_DLen_tvalid,
1892 m_axis_tready => ssUARS_USIF_DLen_tready
1978 TSIF : TcpShellInterface_Deprecated
1984 aresetn =>
not piSHL_Mmio_Ly7Rst ,
1992 siTAF_Data_tdata => ssTARS_TSIF_Data_tdata,
1993 siTAF_Data_tkeep => ssTARS_TSIF_Data_tkeep,
1994 siTAF_Data_tlast => ssTARS_TSIF_Data_tlast,
1995 siTAF_Data_tvalid => ssTARS_TSIF_Data_tvalid,
1996 siTAF_Data_tready => ssTARS_TSIF_Data_tready,
1998 siTAF_SessId_tdata => ssTARS_TSIF_SessId_tdata,
1999 siTAF_SessId_tvalid => ssTARS_TSIF_SessId_tvalid,
2000 siTAF_SessId_tready => ssTARS_TSIF_SessId_tready,
2002 siTAF_DatLen_tdata => ssTARS_TSIF_DatLen_tdata,
2003 siTAF_DatLen_tvalid => ssTARS_TSIF_DatLen_tvalid,
2004 siTAF_DatLen_tready => ssTARS_TSIF_DatLen_tready,
2008 soTAF_Data_tdata => ssTSIF_TARS_Data_tdata,
2009 soTAF_Data_tkeep => ssTSIF_TARS_Data_tkeep,
2010 soTAF_Data_tlast => ssTSIF_TARS_Data_tlast,
2011 soTAF_Data_tvalid => ssTSIF_TARS_Data_tvalid,
2012 soTAF_Data_tready => ssTSIF_TARS_Data_tready,
2014 soTAF_SessId_tdata => ssTSIF_TARS_SessId_tdata,
2015 soTAF_SessId_tvalid => ssTSIF_TARS_SessId_tvalid,
2016 soTAF_SessId_tready => ssTSIF_TARS_SessId_tready,
2018 soTAF_DatLen_tdata => ssTSIF_TARS_DatLen_tdata,
2019 soTAF_DatLen_tvalid => ssTSIF_TARS_DatLen_tvalid,
2020 soTAF_DatLen_tready => ssTSIF_TARS_DatLen_tready,
2089 TSIF : TcpShellInterface
2095 ap_rst_n =>
not piSHL_Mmio_Ly7Rst ,
2103 siTAF_Data_tdata => ssTARS_TSIF_Data_tdata,
2104 siTAF_Data_tkeep => ssTARS_TSIF_Data_tkeep,
2105 siTAF_Data_tlast => ssTARS_TSIF_Data_tlast,
2106 siTAF_Data_tvalid => ssTARS_TSIF_Data_tvalid,
2107 siTAF_Data_tready => ssTARS_TSIF_Data_tready,
2109 siTAF_SessId_V_V_tdata => ssTARS_TSIF_SessId_tdata,
2110 siTAF_SessId_V_V_tvalid => ssTARS_TSIF_SessId_tvalid,
2111 siTAF_SessId_V_V_tready => ssTARS_TSIF_SessId_tready,
2113 siTAF_DatLen_V_V_tdata => ssTARS_TSIF_DatLen_tdata,
2114 siTAF_DatLen_V_V_tvalid => ssTARS_TSIF_DatLen_tvalid,
2115 siTAF_DatLen_V_V_tready => ssTARS_TSIF_DatLen_tready,
2119 soTAF_Data_tdata => ssTSIF_TARS_Data_tdata,
2120 soTAF_Data_tkeep => ssTSIF_TARS_Data_tkeep,
2121 soTAF_Data_tlast => ssTSIF_TARS_Data_tlast,
2122 soTAF_Data_tvalid => ssTSIF_TARS_Data_tvalid,
2123 soTAF_Data_tready => ssTSIF_TARS_Data_tready,
2125 soTAF_SessId_V_V_tdata => ssTSIF_TARS_SessId_tdata,
2126 soTAF_SessId_V_V_tvalid => ssTSIF_TARS_SessId_tvalid,
2127 soTAF_SessId_V_V_tready => ssTSIF_TARS_SessId_tready,
2129 soTAF_DatLen_V_V_tdata => ssTSIF_TARS_DatLen_tdata,
2130 soTAF_DatLen_V_V_tvalid => ssTSIF_TARS_DatLen_tvalid,
2131 soTAF_DatLen_V_V_tready => ssTSIF_TARS_DatLen_tready,
2202 soDBG_SinkCnt_V_V_tdata => ssTSIF_ARS_SinkCnt_tdata,
2203 soDBG_SinkCnt_V_V_tvalid => ssTSIF_ARS_SinkCnt_tvalid,
2204 soDBG_SinkCnt_V_V_tready => ssTSIF_ARS_SinkCnt_tready,
2206 soDBG_InpBufSpace_V_V_tdata => sTSIF_DBG_InpBufSpace,
2207 soDBG_InpBufSpace_V_V_tvalid =>
open,
2208 soDBG_InpBufSpace_V_V_tready => '1'
2223 ARS_TCP_RX_DATA : AxisRegisterSlice_64_8_1
2226 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2227 s_axis_tdata => ssTSIF_TARS_Data_tdata,
2228 s_axis_tkeep => ssTSIF_TARS_Data_tkeep,
2229 s_axis_tlast => ssTSIF_TARS_Data_tlast,
2230 s_axis_tvalid => ssTSIF_TARS_Data_tvalid,
2231 s_axis_tready => ssTSIF_TARS_Data_tready,
2233 m_axis_tdata => ssTARS_TAF_Data_tdata,
2234 m_axis_tkeep => ssTARS_TAF_Data_tkeep,
2235 m_axis_tlast => ssTARS_TAF_Data_tlast,
2236 m_axis_tvalid => ssTARS_TAF_Data_tvalid,
2237 m_axis_tready => ssTARS_TAF_Data_tready
2239 ARS_TCP_RX_SESSID : AxisRegisterSlice_16
2242 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2243 s_axis_tdata => ssTSIF_TARS_SessId_tdata,
2244 s_axis_tvalid => ssTSIF_TARS_SessId_tvalid,
2245 s_axis_tready => ssTSIF_TARS_SessId_tready,
2247 m_axis_tdata => ssTARS_TAF_SessId_tdata,
2248 m_axis_tvalid => ssTARS_TAF_SessId_tvalid,
2249 m_axis_tready => ssTARS_TAF_SessId_tready
2251 ARS_TCP_RX_DATLEN : AxisRegisterSlice_16
2254 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2255 s_axis_tdata => ssTSIF_TARS_DatLen_tdata,
2256 s_axis_tvalid => ssTSIF_TARS_DatLen_tvalid,
2257 s_axis_tready => ssTSIF_TARS_DatLen_tready,
2259 m_axis_tdata => ssTARS_TAF_DatLen_tdata,
2260 m_axis_tvalid => ssTARS_TAF_DatLen_tvalid,
2261 m_axis_tready => ssTARS_TAF_DatLen_tready
2264 ARS_TCP_TX_DATA : AxisRegisterSlice_64_8_1
2267 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2268 s_axis_tdata => ssTAF_TARS_Data_tdata,
2269 s_axis_tkeep => ssTAF_TARS_Data_tkeep,
2270 s_axis_tlast => ssTAF_TARS_Data_tlast,
2271 s_axis_tvalid => ssTAF_TARS_Data_tvalid,
2272 s_axis_tready => ssTAF_TARS_Data_tready,
2274 m_axis_tdata => ssTARS_TSIF_Data_tdata,
2275 m_axis_tkeep => ssTARS_TSIF_Data_tkeep,
2276 m_axis_tlast => ssTARS_TSIF_Data_tlast,
2277 m_axis_tvalid => ssTARS_TSIF_Data_tvalid,
2278 m_axis_tready => ssTARS_TSIF_Data_tready
2280 ARS_TCP_TX_SESSID : AxisRegisterSlice_16
2283 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2284 s_axis_tdata => ssTAF_TARS_SessId_tdata,
2285 s_axis_tvalid => ssTAF_TARS_SessId_tvalid,
2286 s_axis_tready => ssTAF_TARS_SessId_tready,
2288 m_axis_tdata => ssTARS_TSIF_SessId_tdata,
2289 m_axis_tvalid => ssTARS_TSIF_SessId_tvalid,
2290 m_axis_tready => ssTARS_TSIF_SessId_tready
2292 ARS_TCP_TX_DATLEN : AxisRegisterSlice_16
2295 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2296 s_axis_tdata => ssTAF_TARS_DatLen_tdata,
2297 s_axis_tvalid => ssTAF_TARS_DatLen_tvalid,
2298 s_axis_tready => ssTAF_TARS_DatLen_tready,
2300 m_axis_tdata => ssTARS_TSIF_DatLen_tdata,
2301 m_axis_tvalid => ssTARS_TSIF_DatLen_tvalid,
2302 m_axis_tready => ssTARS_TSIF_DatLen_tready
2305 ARS_TCP_DBG_SINK_CNT : AxisRegisterSlice_32
2308 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2309 s_axis_tdata => ssTSIF_ARS_SinkCnt_tdata,
2310 s_axis_tvalid => ssTSIF_ARS_SinkCnt_tvalid,
2311 s_axis_tready => ssTSIF_ARS_SinkCnt_tready,
2313 m_axis_tdata => sTSIF_DBG_SinkCnt,
2314 m_axis_tvalid =>
open,
2315 m_axis_tready => '1'
2339 TAF : TcpApplicationFlash_Deprecated
2345 aresetn =>
not piSHL_Mmio_Ly7Rst ,
2355 siTSIF_Data_tdata => ssTARS_TAF_Data_tdata,
2356 siTSIF_Data_tkeep => ssTARS_TAF_Data_tkeep,
2357 siTSIF_Data_tlast => ssTARS_TAF_Data_tlast,
2358 siTSIF_Data_tvalid => ssTARS_TAF_Data_tvalid,
2359 siTSIF_Data_tready => ssTARS_TAF_Data_tready,
2361 siTSIF_SessId_tdata => ssTARS_TAF_SessId_tdata,
2362 siTSIF_SessId_tvalid => ssTARS_TAF_SessId_tvalid,
2363 siTSIF_SessId_tready => ssTARS_TAF_SessId_tready,
2365 siTSIF_DatLen_tdata => ssTARS_TAF_DatLen_tdata,
2366 siTSIF_DatLen_tvalid => ssTARS_TAF_DatLen_tvalid,
2367 siTSIF_DatLen_tready => ssTARS_TAF_DatLen_tready,
2371 soTSIF_Data_tdata => ssTAF_TARS_Data_tdata,
2372 soTSIF_Data_tkeep => ssTAF_TARS_Data_tkeep,
2373 soTSIF_Data_tlast => ssTAF_TARS_Data_tlast,
2374 soTSIF_Data_tvalid => ssTAF_TARS_Data_tvalid,
2375 soTSIF_Data_tready => ssTAF_TARS_Data_tready,
2377 soTSIF_SessId_tdata => ssTAF_TARS_SessId_tdata,
2378 soTSIF_SessId_tvalid => ssTAF_TARS_SessId_tvalid,
2379 soTSIF_SessId_tready => ssTAF_TARS_SessId_tready,
2381 soTSIF_DatLen_tdata => ssTAF_TARS_DatLen_tdata,
2382 soTSIF_DatLen_tvalid => ssTAF_TARS_DatLen_tvalid,
2383 soTSIF_DatLen_tready => ssTAF_TARS_DatLen_tready
2386 TAF : TcpApplicationFlash
2402 siTSIF_Data_tdata => ssTARS_TAF_Data_tdata,
2403 siTSIF_Data_tkeep => ssTARS_TAF_Data_tkeep,
2404 siTSIF_Data_tlast => ssTARS_TAF_Data_tlast,
2405 siTSIF_Data_tvalid => ssTARS_TAF_Data_tvalid,
2406 siTSIF_Data_tready => ssTARS_TAF_Data_tready,
2408 siTSIF_SessId_V_V_tdata => ssTARS_TAF_SessId_tdata,
2409 siTSIF_SessId_V_V_tvalid => ssTARS_TAF_SessId_tvalid,
2410 siTSIF_SessId_V_V_tready => ssTARS_TAF_SessId_tready,
2412 siTSIF_DatLen_V_V_tdata => ssTARS_TAF_DatLen_tdata,
2413 siTSIF_DatLen_V_V_tvalid => ssTARS_TAF_DatLen_tvalid,
2414 siTSIF_DatLen_V_V_tready => ssTARS_TAF_DatLen_tready,
2418 soTSIF_Data_tdata => ssTAF_TARS_Data_tdata,
2419 soTSIF_Data_tkeep => ssTAF_TARS_Data_tkeep,
2420 soTSIF_Data_tlast => ssTAF_TARS_Data_tlast,
2421 soTSIF_Data_tvalid => ssTAF_TARS_Data_tvalid,
2422 soTSIF_Data_tready => ssTAF_TARS_Data_tready,
2424 soTSIF_SessId_V_V_tdata => ssTAF_TARS_SessId_tdata,
2425 soTSIF_SessId_V_V_tvalid => ssTAF_TARS_SessId_tvalid,
2426 soTSIF_SessId_V_V_tready => ssTAF_TARS_SessId_tready,
2428 soTSIF_DatLen_V_V_tdata => ssTAF_TARS_DatLen_tdata,
2429 soTSIF_DatLen_V_V_tvalid => ssTAF_TARS_DatLen_tvalid,
2430 soTSIF_DatLen_V_V_tready => ssTAF_TARS_DatLen_tready
2442 s156_25Rst_delayed <= '0';
2443 sRstDelayCounter <= (others => '0');
2445 if unsigned(sRstDelayCounter) <= 20 then
2446 s156_25Rst_delayed <= '1';
2447 sRstDelayCounter <= std_logic_vector(unsigned(sRstDelayCounter) + 1);
2449 s156_25Rst_delayed <= '0';
2467 MEM_TEST: MemTestFlash
2473 ap_rst_n =>
not piSHL_Mmio_Ly7Rst ,
2484 piSysReset_V => fVectorize
(s156_25Rst_delayed
),
2485 piSysReset_V_ap_vld => '1',
2490 piMMIO_diag_ctrl_V_ap_vld => '1',
2523 soMemWriteP0_TLAST => sSHL_Mem_Mp0_Write_tlast
out soSHL_Mem_Mp0_RdCmd_tvalidstd_ulogic
in soSHL_Nts_Udp_DLen_treadystd_ulogic
in siSHL_Nts_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siSHL_Nts_Tcp_Notif_tvalidstd_ulogic
in siSHL_Nts_Tcp_SndRep_tdatastd_ulogic_vector(55 downto 0)
in siSHL_Nts_Tcp_LsnRep_tdatastd_ulogic_vector(7 downto 0)
in siSHL_Mem_Mp0_Read_tlaststd_ulogic
out soSHL_Nts_Tcp_Data_tvalidstd_ulogic
out moSHL_Mem_Mp1_RREADYstd_ulogic
in moSHL_Mem_Mp1_WREADYstd_ulogic
in siSHL_Nts_Tcp_OpnRep_tdatastd_ulogic_vector(23 downto 0)
in siSHL_Mem_Mp0_WrSts_tvalidstd_ulogic
out poSHL_Mmio_RdRegstd_ulogic_vector(15 downto 0)
in piSHL_156_25Rststd_ulogic
in siSHL_Nts_Udp_Data_tlaststd_ulogic
in siSHL_Mem_Mp0_Read_tkeepstd_ulogic_vector(63 downto 0)
out soSHL_Nts_Tcp_SndReq_tvalidstd_ulogic
out moSHL_Mem_Mp1_AWBURSTstd_ulogic_vector(1 downto 0)
out soSHL_Nts_Tcp_DReq_tvalidstd_ulogic
in moSHL_Mem_Mp1_RIDstd_ulogic_vector(7 downto 0)
in soSHL_Nts_Tcp_OpnReq_treadystd_ulogic
in siSHL_Mem_Mp0_RdSts_tvalidstd_ulogic
out soSHL_Mem_Mp0_WrCmd_tvalidstd_ulogic
in piSHL_Mmio_Ly7Enstd_ulogic
out soSHL_Nts_Udp_LsnReq_tdatastd_ulogic_vector(15 downto 0)
out soSHL_Nts_Tcp_Data_tlaststd_ulogic
out moSHL_Mem_Mp1_WVALIDstd_ulogic
out siSHL_Mem_Mp0_Read_treadystd_ulogic
out soSHL_Nts_Udp_DLen_tvalidstd_ulogic
out soSHL_Nts_Tcp_ClsReq_tdatastd_ulogic_vector(15 downto 0)
out soSHL_Nts_Udp_Meta_tdatastd_ulogic_vector(95 downto 0)
out moSHL_Mem_Mp1_BREADYstd_ulogic
out siSHL_Nts_Udp_Data_treadystd_ulogic
in siSHL_Nts_Udp_ClsRep_tvalidstd_ulogic
in siSHL_Nts_Udp_ClsRep_tdatastd_ulogic_vector(7 downto 0)
in siSHL_Mem_Mp0_WrSts_tdatastd_ulogic_vector(7 downto 0)
in soSHL_Nts_Tcp_DReq_treadystd_ulogic
in piSHL_156_25Clkstd_ulogic
out soSHL_Nts_Tcp_LsnReq_tvalidstd_ulogic
out siSHL_Nts_Tcp_Meta_treadystd_ulogic
in siSHL_Nts_Udp_Meta_tdatastd_ulogic_vector(95 downto 0)
in moSHL_Mem_Mp1_AWREADYstd_ulogic
in moSHL_Mem_Mp1_RRESPstd_ulogic_vector(1 downto 0)
out soSHL_Nts_Udp_Data_tvalidstd_ulogic
out siSHL_Nts_Tcp_Data_treadystd_ulogic
in siSHL_Nts_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
out poSHL_Mmio_Mc1_MemTestStatstd_ulogic_vector(1 downto 0)
in soSHL_Nts_Tcp_Data_treadystd_ulogic
in soSHL_Mem_Mp0_Write_treadystd_ulogic
in moSHL_Mem_Mp1_BIDstd_ulogic_vector(7 downto 0)
in soSHL_Nts_Udp_ClsReq_treadystd_ulogic
out siSHL_Nts_Tcp_Notif_treadystd_ulogic
in siSHL_Nts_Tcp_OpnRep_tvalidstd_ulogic
out soSHL_Mem_Mp0_WrCmd_tdatastd_ulogic_vector(79 downto 0)
out siSHL_Nts_Tcp_OpnRep_treadystd_ulogic
in siSHL_Nts_Tcp_Data_tlaststd_ulogic
in siSHL_Nts_Udp_Meta_tvalidstd_ulogic
in soSHL_Nts_Udp_Data_treadystd_ulogic
in moSHL_Mem_Mp1_BRESPstd_ulogic_vector(1 downto 0)
in siSHL_Nts_Udp_DLen_tdatastd_ulogic_vector(15 downto 0)
out soSHL_Mem_Mp0_Write_tdatastd_ulogic_vector(511 downto 0)
in siSHL_Mem_Mp0_RdSts_tdatastd_ulogic_vector(7 downto 0)
out soSHL_Nts_Tcp_LsnReq_tdatastd_ulogic_vector(15 downto 0)
out moSHL_Mem_Mp1_AWSIZEstd_ulogic_vector(2 downto 0)
in soSHL_Mem_Mp0_RdCmd_treadystd_ulogic
in piSHL_Mmio_Mc1_MemTestCtrlstd_ulogic_vector(1 downto 0)
out soSHL_Nts_Udp_Data_tlaststd_ulogic
out siSHL_Nts_Udp_LsnRep_treadystd_ulogic
in soSHL_Nts_Udp_LsnReq_treadystd_ulogic
out soSHL_Nts_Udp_DLen_tdatastd_ulogic_vector(15 downto 0)
out soSHL_Nts_Tcp_OpnReq_tvalidstd_ulogic
gVivadoVersioninteger :=2019
out soSHL_Nts_Tcp_OpnReq_tdatastd_ulogic_vector(47 downto 0)
in moSHL_Mem_Mp1_RDATAstd_ulogic_vector(511 downto 0)
in siSHL_Nts_Udp_DLen_tvalidstd_ulogic
in moSHL_Mem_Mp1_RVALIDstd_ulogic
out moSHL_Mem_Mp1_WSTRBstd_ulogic_vector(63 downto 0)
out soSHL_Nts_Tcp_ClsReq_tvalidstd_ulogic
out moSHL_Mem_Mp1_AWVALIDstd_ulogic
out moSHL_Mem_Mp1_AWIDstd_ulogic_vector(7 downto 0)
out soSHL_Mem_Mp0_Write_tvalidstd_ulogic
out siSHL_Nts_Udp_ClsRep_treadystd_ulogic
out siSHL_Nts_Tcp_SndRep_treadystd_ulogic
in siSHL_Nts_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in soSHL_Nts_Tcp_LsnReq_treadystd_ulogic
in soSHL_Nts_Tcp_SndReq_treadystd_ulogic
in siSHL_Nts_Udp_LsnRep_tdatastd_ulogic_vector(7 downto 0)
out moSHL_Mem_Mp1_ARADDRstd_ulogic_vector(32 downto 0)
in soSHL_Mem_Mp0_WrCmd_treadystd_ulogic
out soSHL_Mem_Mp0_Write_tlaststd_ulogic
in siSHL_Nts_Tcp_Notif_tdatastd_ulogic_vector(7+96 downto 0)
out soSHL_Nts_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out soSHL_Nts_Udp_Meta_tvalidstd_ulogic
out moSHL_Mem_Mp1_ARLENstd_ulogic_vector(7 downto 0)
out siSHL_Nts_Udp_Meta_treadystd_ulogic
out soSHL_Nts_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
out soSHL_Nts_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
in moSHL_Mem_Mp1_ARREADYstd_ulogic
in siSHL_Nts_Tcp_Meta_tdatastd_ulogic_vector(15 downto 0)
out soSHL_Nts_Udp_LsnReq_tvalidstd_ulogic
out soSHL_Mem_Mp0_RdCmd_tdatastd_ulogic_vector(79 downto 0)
in siSHL_Nts_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out moSHL_Mem_Mp1_AWLENstd_ulogic_vector(7 downto 0)
out moSHL_Mem_Mp1_ARSIZEstd_ulogic_vector(2 downto 0)
out soSHL_Nts_Tcp_SndReq_tdatastd_ulogic_vector(31 downto 0)
out siSHL_Nts_Udp_DLen_treadystd_ulogic
in soSHL_Nts_Udp_Meta_treadystd_ulogic
out moSHL_Mem_Mp1_WLASTstd_ulogic
in siSHL_Nts_Udp_LsnRep_tvalidstd_ulogic
out soSHL_Nts_Tcp_DReq_tdatastd_ulogic_vector(31 downto 0)
out moSHL_Mem_Mp1_WDATAstd_ulogic_vector(511 downto 0)
out soSHL_Nts_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siSHL_Nts_Tcp_SndRep_tvalidstd_ulogic
out soSHL_Nts_Udp_ClsReq_tvalidstd_ulogic
out soSHL_Nts_Udp_ClsReq_tdatastd_ulogic_vector(15 downto 0)
in siSHL_Mem_Mp0_Read_tvalidstd_ulogic
out soSHL_Mem_Mp0_Write_tkeepstd_ulogic_vector(63 downto 0)
in siSHL_Nts_Udp_Data_tvalidstd_ulogic
out siSHL_Nts_Tcp_LsnRep_treadystd_ulogic
in piTOP_250_00Clkstd_ulogic
in moSHL_Mem_Mp1_RLASTstd_ulogic
out moSHL_Mem_Mp1_ARBURSTstd_ulogic_vector(1 downto 0)
in siSHL_Nts_Tcp_Meta_tvalidstd_ulogic
in piSHL_Mmio_WrRegstd_ulogic_vector(15 downto 0)
in moSHL_Mem_Mp1_BVALIDstd_ulogic
in siSHL_Nts_Tcp_LsnRep_tvalidstd_ulogic
in soSHL_Nts_Tcp_ClsReq_treadystd_ulogic
out moSHL_Mem_Mp1_ARIDstd_ulogic_vector(7 downto 0)
out siSHL_Mem_Mp0_WrSts_treadystd_ulogic
out siSHL_Mem_Mp0_RdSts_treadystd_ulogic
out moSHL_Mem_Mp1_ARVALIDstd_ulogic
in siSHL_Mem_Mp0_Read_tdatastd_ulogic_vector(511 downto 0)
out moSHL_Mem_Mp1_AWADDRstd_ulogic_vector(32 downto 0)
in piSHL_Mmio_Ly7Rststd_ulogic
in siSHL_Nts_Tcp_Data_tvalidstd_ulogic