32 use IEEE.std_logic_1164.
all;
33 use IEEE.numeric_std.
all;
36 use UNISIM.vcomponents.
all;
41 library XIL_DEFAULTLIB;
42 use XIL_DEFAULTLIB.topFMKU_pkg.
all;
166 signal sTOP_156_25Clk : std_ulogic;
167 signal sTOP_250_00Clk : std_ulogic;
170 signal sTOP_156_25Rst_n : std_ulogic;
171 signal sTOP_156_25Rst : std_ulogic;
174 signal sSHL_156_25Clk : std_ulogic;
175 signal sSHL_156_25Rst : std_ulogic;
178 signal sTOP_Timestamp : stTimeStamp;
185 signal sROL_Shl_Nts0_Udp_Axis_tdata : std_ulogic_vector( 63 downto 0);
186 signal sROL_Shl_Nts0_Udp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
187 signal sROL_Shl_Nts0_Udp_Axis_tlast : std_ulogic;
188 signal sROL_Shl_Nts0_Udp_Axis_tvalid : std_ulogic;
189 signal sSHL_Rol_Nts0_Udp_Axis_tready : std_ulogic;
191 signal sROL_Shl_Nts0_Udp_Axis_tready : std_ulogic;
192 signal sSHL_Rol_Nts0_Udp_Axis_tdata : std_ulogic_vector( 63 downto 0);
193 signal sSHL_Rol_Nts0_Udp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
194 signal sSHL_Rol_Nts0_Udp_Axis_tlast : std_ulogic;
195 signal sSHL_Rol_Nts0_Udp_Axis_tvalid : std_ulogic;
197 signal sROL_Nrc_Udp_Rx_ports : std_ulogic_vector( 31 downto 0);
199 signal sROLE_Nrc_Udp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
200 signal sROLE_Nrc_Udp_Meta_TVALID : std_ulogic;
201 signal sROLE_Nrc_Udp_Meta_TREADY : std_ulogic;
202 signal sROLE_Nrc_Udp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
203 signal sROLE_Nrc_Udp_Meta_TLAST : std_ulogic;
204 signal sNRC_Role_Udp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
205 signal sNRC_Role_Udp_Meta_TVALID : std_ulogic;
206 signal sNRC_Role_Udp_Meta_TREADY : std_ulogic;
207 signal sNRC_Role_Udp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
208 signal sNRC_Role_Udp_Meta_TLAST : std_ulogic;
212 signal sROL_Shl_Nts0_Tcp_Axis_tdata : std_ulogic_vector( 63 downto 0);
213 signal sROL_Shl_Nts0_Tcp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
214 signal sROL_Shl_Nts0_Tcp_Axis_tlast : std_ulogic;
215 signal sROL_Shl_Nts0_Tcp_Axis_tvalid : std_ulogic;
216 signal sSHL_Rol_Nts0_Tcp_Axis_tready : std_ulogic;
218 signal sROL_Shl_Nts0_Tcp_Axis_tready : std_ulogic;
219 signal sSHL_Rol_Nts0_Tcp_Axis_tdata : std_ulogic_vector( 63 downto 0);
220 signal sSHL_Rol_Nts0_Tcp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
221 signal sSHL_Rol_Nts0_Tcp_Axis_tlast : std_ulogic;
222 signal sSHL_Rol_Nts0_Tcp_Axis_tvalid : std_ulogic;
224 signal sROL_Nrc_Tcp_Rx_ports : std_ulogic_vector( 31 downto 0);
226 signal sROLE_Nrc_Tcp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
227 signal sROLE_Nrc_Tcp_Meta_TVALID : std_ulogic;
228 signal sROLE_Nrc_Tcp_Meta_TREADY : std_ulogic;
229 signal sROLE_Nrc_Tcp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
230 signal sROLE_Nrc_Tcp_Meta_TLAST : std_ulogic;
231 signal sNRC_Role_Tcp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
232 signal sNRC_Role_Tcp_Meta_TVALID : std_ulogic;
233 signal sNRC_Role_Tcp_Meta_TREADY : std_ulogic;
234 signal sNRC_Role_Tcp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
235 signal sNRC_Role_Tcp_Meta_TLAST : std_ulogic;
244 signal ssROL_SHL_Mem_Mp0_RdCmd_tdata : std_ulogic_vector( 79 downto 0);
245 signal ssROL_SHL_Mem_Mp0_RdCmd_tvalid : std_ulogic;
246 signal ssROL_SHL_Mem_Mp0_RdCmd_tready : std_ulogic;
248 signal ssSHL_ROL_Mem_Mp0_RdSts_tdata : std_ulogic_vector( 7 downto 0);
249 signal ssSHL_ROL_Mem_Mp0_RdSts_tvalid : std_ulogic;
250 signal ssSHL_ROL_Mem_Mp0_RdSts_tready : std_ulogic;
252 signal ssSHL_ROL_Mem_Mp0_Read_tdata : std_ulogic_vector(511 downto 0);
253 signal ssSHL_ROL_Mem_Mp0_Read_tkeep : std_ulogic_vector( 63 downto 0);
254 signal ssSHL_ROL_Mem_Mp0_Read_tlast : std_ulogic;
255 signal ssSHL_ROL_Mem_Mp0_Read_tvalid : std_ulogic;
256 signal ssSHL_ROL_Mem_Mp0_Read_tready : std_ulogic;
258 signal ssROL_SHL_Mem_Mp0_WrCmd_tdata : std_ulogic_vector( 79 downto 0);
259 signal ssROL_SHL_Mem_Mp0_WrCmd_tvalid : std_ulogic;
260 signal ssROL_SHL_Mem_Mp0_WrCmd_tready : std_ulogic;
262 signal ssSHL_ROL_Mem_Mp0_WrSts_tdata : std_ulogic_vector( 7 downto 0);
263 signal ssSHL_ROL_Mem_Mp0_WrSts_tvalid : std_ulogic;
264 signal ssSHL_ROL_Mem_Mp0_WrSts_tready : std_ulogic;
266 signal ssROL_SHL_Mem_Mp0_Write_tdata : std_ulogic_vector(511 downto 0);
267 signal ssROL_SHL_Mem_Mp0_Write_tkeep : std_ulogic_vector( 63 downto 0);
268 signal ssROL_SHL_Mem_Mp0_Write_tlast : std_ulogic;
269 signal ssROL_SHL_Mem_Mp0_Write_tvalid : std_ulogic;
270 signal ssROL_SHL_Mem_Mp0_Write_tready : std_ulogic;
272 signal smROL_SHL_Mem_Mp1_AWID : std_ulogic_vector(7 downto 0);
273 signal smROL_SHL_Mem_Mp1_AWADDR : std_ulogic_vector(32 downto 0);
274 signal smROL_SHL_Mem_Mp1_AWLEN : std_ulogic_vector(7 downto 0);
275 signal smROL_SHL_Mem_Mp1_AWSIZE : std_ulogic_vector(2 downto 0);
276 signal smROL_SHL_Mem_Mp1_AWBURST : std_ulogic_vector(1 downto 0);
277 signal smROL_SHL_Mem_Mp1_AWVALID : std_ulogic;
278 signal smROL_SHL_Mem_Mp1_AWREADY : std_ulogic;
279 signal smROL_SHL_Mem_Mp1_WDATA : std_ulogic_vector(511 downto 0);
280 signal smROL_SHL_Mem_Mp1_WSTRB : std_ulogic_vector(63 downto 0);
281 signal smROL_SHL_Mem_Mp1_WLAST : std_ulogic;
282 signal smROL_SHL_Mem_Mp1_WVALID : std_ulogic;
283 signal smROL_SHL_Mem_Mp1_WREADY : std_ulogic;
284 signal smROL_SHL_Mem_Mp1_BID : std_ulogic_vector(7 downto 0);
285 signal smROL_SHL_Mem_Mp1_BRESP : std_ulogic_vector(1 downto 0);
286 signal smROL_SHL_Mem_Mp1_BVALID : std_ulogic;
287 signal smROL_SHL_Mem_Mp1_BREADY : std_ulogic;
288 signal smROL_SHL_Mem_Mp1_ARID : std_ulogic_vector(7 downto 0);
289 signal smROL_SHL_Mem_Mp1_ARADDR : std_ulogic_vector(32 downto 0);
290 signal smROL_SHL_Mem_Mp1_ARLEN : std_ulogic_vector(7 downto 0);
291 signal smROL_SHL_Mem_Mp1_ARSIZE : std_ulogic_vector(2 downto 0);
292 signal smROL_SHL_Mem_Mp1_ARBURST : std_ulogic_vector(1 downto 0);
293 signal smROL_SHL_Mem_Mp1_ARVALID : std_ulogic;
294 signal smROL_SHL_Mem_Mp1_ARREADY : std_ulogic;
295 signal smROL_SHL_Mem_Mp1_RID : std_ulogic_vector(7 downto 0);
296 signal smROL_SHL_Mem_Mp1_RDATA : std_ulogic_vector(511 downto 0);
297 signal smROL_SHL_Mem_Mp1_RRESP : std_ulogic_vector(1 downto 0);
298 signal smROL_SHL_Mem_Mp1_RLAST : std_ulogic;
299 signal smROL_SHL_Mem_Mp1_RVALID : std_ulogic;
300 signal smROL_SHL_Mem_Mp1_RREADY : std_ulogic;
307 signal sSHL_ROL_Mmio_Ly7Rst : std_ulogic;
309 signal sSHL_ROL_Mmio_Ly7En : std_ulogic;
311 signal sSHL_ROL_Mmio_Mc1_MemTestCtrl : std_ulogic_vector( 1 downto 0);
313 signal sROL_SHL_Mmio_Mc1_MemTestStat : std_ulogic_vector( 1 downto 0);
315 signal sSHL_ROL_Mmio_UdpEchoCtrl : std_ulogic_vector( 1 downto 0);
316 signal sSHL_ROL_Mmio_UdpPostDgmEn : std_ulogic;
317 signal sSHL_ROL_Mmio_UdpCaptDgmEn : std_ulogic;
318 signal sSHL_ROL_Mmio_TcpEchoCtrl : std_ulogic_vector( 1 downto 0);
319 signal sSHL_ROL_Mmio_TcpPostSegEn : std_ulogic;
320 signal sSHL_ROL_Mmio_TcpCaptSegEn : std_ulogic;
322 signal sROL_SHL_Mmio_RdReg : std_ulogic_vector( 15 downto 0);
324 signal sSHL_ROL_Mmio_WrReg : std_ulogic_vector( 15 downto 0);
329 signal sSHL_ROL_Fmc_Rank : std_ulogic_vector( 31 downto 0);
330 signal sSHL_ROL_Fmc_Size : std_ulogic_vector( 31 downto 0);
332 signal sROL_reset_combinded : std_ulogic;
341 component Shell_Themisto
343 gSecurityPriviledges :
string :=
"super";
344 gBitstreamUsage :
string :=
"flash";
345 gMmioAddrWidth :
integer :=
8;
346 gMmioDataWidth :
integer :=
8
352 piTOP_156_25Rst :
in std_ulogic;
353 piTOP_156_25Clk :
in std_ulogic;
358 piTOP_Timestamp :
in std_ulogic_vector(
31 downto 0);
363 piCLKT_Mem0Clk_n :
in std_ulogic;
364 piCLKT_Mem0Clk_p :
in std_ulogic;
365 piCLKT_Mem1Clk_n :
in std_ulogic;
366 piCLKT_Mem1Clk_p :
in std_ulogic;
367 piCLKT_10GeClk_n :
in std_ulogic;
368 piCLKT_10GeClk_p :
in std_ulogic;
373 piPSOC_Emif_Clk :
in std_ulogic;
374 piPSOC_Emif_Cs_n :
in std_ulogic;
375 piPSOC_Emif_We_n :
in std_ulogic;
376 piPSOC_Emif_Oe_n :
in std_ulogic;
377 piPSOC_Emif_AdS_n :
in std_ulogic;
378 piPSOC_Emif_Addr :
in std_ulogic_vector(gMmioAddrWidth
-1 downto 0);
379 pioPSOC_Emif_Data :
inout std_ulogic_vector(gMmioDataWidth
-1 downto 0);
384 poLED_HeartBeat_n :
out std_ulogic;
389 pioDDR4_Mem_Mc0_DmDbi_n :
inout std_ulogic_vector(
8 downto 0);
390 pioDDR4_Mem_Mc0_Dq :
inout std_ulogic_vector(
71 downto 0);
391 pioDDR4_Mem_Mc0_Dqs_n :
inout std_ulogic_vector(
8 downto 0);
392 pioDDR4_Mem_Mc0_Dqs_p :
inout std_ulogic_vector(
8 downto 0);
393 poDDR4_Mem_Mc0_Act_n :
out std_ulogic;
394 poDDR4_Mem_Mc0_Adr :
out std_ulogic_vector(
16 downto 0);
395 poDDR4_Mem_Mc0_Ba :
out std_ulogic_vector(
1 downto 0);
396 poDDR4_Mem_Mc0_Bg :
out std_ulogic_vector(
1 downto 0);
397 poDDR4_Mem_Mc0_Cke :
out std_ulogic;
398 poDDR4_Mem_Mc0_Odt :
out std_ulogic;
399 poDDR4_Mem_Mc0_Cs_n :
out std_ulogic;
400 poDDR4_Mem_Mc0_Ck_n :
out std_ulogic;
401 poDDR4_Mem_Mc0_Ck_p :
out std_ulogic;
402 poDDR4_Mem_Mc0_Reset_n :
out std_ulogic;
407 pioDDR4_Mem_Mc1_DmDbi_n :
inout std_ulogic_vector(
8 downto 0);
408 pioDDR4_Mem_Mc1_Dq :
inout std_ulogic_vector(
71 downto 0);
409 pioDDR4_Mem_Mc1_Dqs_n :
inout std_ulogic_vector(
8 downto 0);
410 pioDDR4_Mem_Mc1_Dqs_p :
inout std_ulogic_vector(
8 downto 0);
411 poDDR4_Mem_Mc1_Act_n :
out std_ulogic;
412 poDDR4_Mem_Mc1_Adr :
out std_ulogic_vector(
16 downto 0);
413 poDDR4_Mem_Mc1_Ba :
out std_ulogic_vector(
1 downto 0);
414 poDDR4_Mem_Mc1_Bg :
out std_ulogic_vector(
1 downto 0);
415 poDDR4_Mem_Mc1_Cke :
out std_ulogic;
416 poDDR4_Mem_Mc1_Odt :
out std_ulogic;
417 poDDR4_Mem_Mc1_Cs_n :
out std_ulogic;
418 poDDR4_Mem_Mc1_Ck_n :
out std_ulogic;
419 poDDR4_Mem_Mc1_Ck_p :
out std_ulogic;
420 poDDR4_Mem_Mc1_Reset_n :
out std_ulogic;
425 piECON_Eth_10Ge0_n :
in std_ulogic;
426 piECON_Eth_10Ge0_p :
in std_ulogic;
427 poECON_Eth_10Ge0_n :
out std_ulogic;
428 poECON_Eth_10Ge0_p :
out std_ulogic;
433 poROL_156_25Clk :
out std_ulogic;
434 poROL_156_25Rst :
out std_ulogic;
440 siROL_Nts_Udp_Data_tdata :
in std_ulogic_vector(
63 downto 0);
441 siROL_Nts_Udp_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
442 siROL_Nts_Udp_Data_tlast :
in std_ulogic;
443 siROL_Nts_Udp_Data_tvalid :
in std_ulogic;
444 siROL_Nts_Udp_Data_tready :
out std_ulogic;
446 soROL_Nts_Udp_Data_tdata :
out std_ulogic_vector(
63 downto 0);
447 soROL_Nts_Udp_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
448 soROL_Nts_Udp_Data_tlast :
out std_ulogic;
449 soROL_Nts_Udp_Data_tvalid :
out std_ulogic;
450 soROL_Nts_Udp_Data_tready :
in std_ulogic;
452 piROL_Nrc_Udp_Rx_ports :
in std_ulogic_vector(
31 downto 0);
454 siROLE_Nrc_Udp_Meta_TDATA :
in std_ulogic_vector(
63 downto 0);
455 siROLE_Nrc_Udp_Meta_TVALID :
in std_ulogic;
456 siROLE_Nrc_Udp_Meta_TREADY :
out std_ulogic;
457 siROLE_Nrc_Udp_Meta_TKEEP :
in std_ulogic_vector(
7 downto 0);
458 siROLE_Nrc_Udp_Meta_TLAST :
in std_ulogic;
459 soNRC_Role_Udp_Meta_TDATA :
out std_ulogic_vector(
63 downto 0);
460 soNRC_Role_Udp_Meta_TVALID :
out std_ulogic;
461 soNRC_Role_Udp_Meta_TREADY :
in std_ulogic;
462 soNRC_Role_Udp_Meta_TKEEP :
out std_ulogic_vector(
7 downto 0);
463 soNRC_Role_Udp_Meta_TLAST :
out std_ulogic;
469 siROL_Nts_Tcp_Data_tdata :
in std_ulogic_vector(
63 downto 0);
470 siROL_Nts_Tcp_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
471 siROL_Nts_Tcp_Data_tlast :
in std_ulogic;
472 siROL_Nts_Tcp_Data_tvalid :
in std_ulogic;
473 siROL_Nts_Tcp_Data_tready :
out std_ulogic;
475 soROL_Nts_Tcp_Data_tdata :
out std_ulogic_vector(
63 downto 0);
476 soROL_Nts_Tcp_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
477 soROL_Nts_Tcp_Data_tlast :
out std_ulogic;
478 soROL_Nts_Tcp_Data_tvalid :
out std_ulogic;
479 soROL_Nts_Tcp_Data_tready :
in std_ulogic;
481 piROL_Nrc_Tcp_Rx_ports :
in std_ulogic_vector(
31 downto 0);
483 siROLE_Nrc_Tcp_Meta_TDATA :
in std_ulogic_vector(
63 downto 0);
484 siROLE_Nrc_Tcp_Meta_TVALID :
in std_ulogic;
485 siROLE_Nrc_Tcp_Meta_TREADY :
out std_ulogic;
486 siROLE_Nrc_Tcp_Meta_TKEEP :
in std_ulogic_vector(
7 downto 0);
487 siROLE_Nrc_Tcp_Meta_TLAST :
in std_ulogic;
488 soNRC_Role_Tcp_Meta_TDATA :
out std_ulogic_vector(
63 downto 0);
489 soNRC_Role_Tcp_Meta_TVALID :
out std_ulogic;
490 soNRC_Role_Tcp_Meta_TREADY :
in std_ulogic;
491 soNRC_Role_Tcp_Meta_TKEEP :
out std_ulogic_vector(
7 downto 0);
492 soNRC_Role_Tcp_Meta_TLAST :
out std_ulogic;
499 siROL_Mem_Mp0_RdCmd_tdata :
in std_ulogic_vector(
79 downto 0);
500 siROL_Mem_Mp0_RdCmd_tvalid :
in std_ulogic;
501 siROL_Mem_Mp0_RdCmd_tready :
out std_ulogic;
503 soROL_Mem_Mp0_RdSts_tdata :
out std_ulogic_vector(
7 downto 0);
504 soROL_Mem_Mp0_RdSts_tvalid :
out std_ulogic;
505 soROL_Mem_Mp0_RdSts_tready :
in std_ulogic;
507 soROL_Mem_Mp0_Read_tdata :
out std_ulogic_vector(
511 downto 0);
508 soROL_Mem_Mp0_Read_tkeep :
out std_ulogic_vector(
63 downto 0);
509 soROL_Mem_Mp0_Read_tlast :
out std_ulogic;
510 soROL_Mem_Mp0_Read_tvalid :
out std_ulogic;
511 soROL_Mem_Mp0_Read_tready :
in std_ulogic;
513 siROL_Mem_Mp0_WrCmd_tdata :
in std_ulogic_vector(
79 downto 0);
514 siROL_Mem_Mp0_WrCmd_tvalid :
in std_ulogic;
515 siROL_Mem_Mp0_WrCmd_tready :
out std_ulogic;
517 soROL_Mem_Mp0_WrSts_tvalid :
out std_ulogic;
518 soROL_Mem_Mp0_WrSts_tdata :
out std_ulogic_vector(
7 downto 0);
519 soROL_Mem_Mp0_WrSts_tready :
in std_ulogic;
521 siROL_Mem_Mp0_Write_tdata :
in std_ulogic_vector(
511 downto 0);
522 siROL_Mem_Mp0_Write_tkeep :
in std_ulogic_vector(
63 downto 0);
523 siROL_Mem_Mp0_Write_tlast :
in std_ulogic;
524 siROL_Mem_Mp0_Write_tvalid :
in std_ulogic;
525 siROL_Mem_Mp0_Write_tready :
out std_ulogic;
530 miROL_Mem_Mp1_AWID :
in std_ulogic_vector(
7 downto 0);
531 miROL_Mem_Mp1_AWADDR :
in std_ulogic_vector(
32 downto 0);
532 miROL_Mem_Mp1_AWLEN :
in std_ulogic_vector(
7 downto 0);
533 miROL_Mem_Mp1_AWSIZE :
in std_ulogic_vector(
2 downto 0);
534 miROL_Mem_Mp1_AWBURST :
in std_ulogic_vector(
1 downto 0);
535 miROL_Mem_Mp1_AWVALID :
in std_ulogic;
536 miROL_Mem_Mp1_AWREADY :
out std_ulogic;
537 miROL_Mem_Mp1_WDATA :
in std_ulogic_vector(
511 downto 0);
538 miROL_Mem_Mp1_WSTRB :
in std_ulogic_vector(
63 downto 0);
539 miROL_Mem_Mp1_WLAST :
in std_ulogic;
540 miROL_Mem_Mp1_WVALID :
in std_ulogic;
541 miROL_Mem_Mp1_WREADY :
out std_ulogic;
542 miROL_Mem_Mp1_BID :
out std_ulogic_vector(
7 downto 0);
543 miROL_Mem_Mp1_BRESP :
out std_ulogic_vector(
1 downto 0);
544 miROL_Mem_Mp1_BVALID :
out std_ulogic;
545 miROL_Mem_Mp1_BREADY :
in std_ulogic;
546 miROL_Mem_Mp1_ARID :
in std_ulogic_vector(
7 downto 0);
547 miROL_Mem_Mp1_ARADDR :
in std_ulogic_vector(
32 downto 0);
548 miROL_Mem_Mp1_ARLEN :
in std_ulogic_vector(
7 downto 0);
549 miROL_Mem_Mp1_ARSIZE :
in std_ulogic_vector(
2 downto 0);
550 miROL_Mem_Mp1_ARBURST :
in std_ulogic_vector(
1 downto 0);
551 miROL_Mem_Mp1_ARVALID :
in std_ulogic;
552 miROL_Mem_Mp1_ARREADY :
out std_ulogic;
553 miROL_Mem_Mp1_RID :
out std_ulogic_vector(
7 downto 0);
554 miROL_Mem_Mp1_RDATA :
out std_ulogic_vector(
511 downto 0);
555 miROL_Mem_Mp1_RRESP :
out std_ulogic_vector(
1 downto 0);
556 miROL_Mem_Mp1_RLAST :
out std_ulogic;
557 miROL_Mem_Mp1_RVALID :
out std_ulogic;
558 miROL_Mem_Mp1_RREADY :
in std_ulogic;
564 poROL_Mmio_Ly7Rst :
out std_ulogic;
566 poROL_Mmio_Ly7En :
out std_ulogic;
568 poROL_Mmio_Mc1_MemTestCtrl :
out std_ulogic_vector(
1 downto 0);
570 piROL_Mmio_Mc1_MemTestStat :
in std_ulogic_vector(
1 downto 0);
572 poROL_Mmio_UdpEchoCtrl :
out std_ulogic_vector(
1 downto 0);
573 poROL_Mmio_UdpPostDgmEn :
out std_ulogic;
574 poROL_Mmio_UdpCaptDgmEn :
out std_ulogic;
575 poROL_Mmio_TcpEchoCtrl :
out std_ulogic_vector(
1 downto 0);
576 poROL_Mmio_TcpPostSegEn :
out std_ulogic;
577 poROL_Mmio_TcpCaptSegEn :
out std_ulogic;
579 piROL_Mmio_RdReg :
in std_ulogic_vector(
15 downto 0);
581 poROL_Mmio_WrReg :
out std_ulogic_vector(
15 downto 0);
586 poROL_Fmc_Rank :
out std_logic_vector(
31 downto 0);
587 poROL_Fmc_Size :
out std_logic_vector(
31 downto 0);
589 poVoid :
out std_ulogic
592 end component Shell_Themisto;
817 TOP_META_RST : HARD_SYNC
820 IS_CLK_INVERTED => '0',
824 CLK => sTOP_156_25Clk,
826 DOUT => sTOP_156_25Rst_n
828 sTOP_156_25Rst <= not sTOP_156_25Rst_n;
837 TOP_TIMESTAMP : USR_ACCESSE2
840 DATA => sTOP_Timestamp,
849 SHELL : Shell_Themisto
851 gSecurityPriviledges =>
"super",
852 gBitstreamUsage =>
"flash",
860 piTOP_156_25Rst => sTOP_156_25Rst,
861 piTOP_156_25Clk => sTOP_156_25Clk,
866 piTOP_Timestamp => sTOP_Timestamp,
941 poROL_156_25Clk => sSHL_156_25Clk,
942 poROL_156_25Rst => sSHL_156_25Rst,
948 siROL_Nts_Udp_Data_tdata => sROL_Shl_Nts0_Udp_Axis_tdata,
949 siROL_Nts_Udp_Data_tkeep => sROL_Shl_Nts0_Udp_Axis_tkeep,
950 siROL_Nts_Udp_Data_tlast => sROL_Shl_Nts0_Udp_Axis_tlast,
951 siROL_Nts_Udp_Data_tvalid => sROL_Shl_Nts0_Udp_Axis_tvalid,
952 siROL_Nts_Udp_Data_tready => sSHL_Rol_Nts0_Udp_Axis_tready,
954 soROL_Nts_Udp_Data_tdata => sSHL_Rol_Nts0_Udp_Axis_tdata ,
955 soROL_Nts_Udp_Data_tkeep => sSHL_Rol_Nts0_Udp_Axis_tkeep,
956 soROL_Nts_Udp_Data_tlast => sSHL_Rol_Nts0_Udp_Axis_tlast ,
957 soROL_Nts_Udp_Data_tvalid => sSHL_Rol_Nts0_Udp_Axis_tvalid,
958 soROL_Nts_Udp_Data_tready => sROL_Shl_Nts0_Udp_Axis_tready,
960 piROL_Nrc_Udp_Rx_ports => sROL_Nrc_Udp_Rx_ports ,
962 siROLE_Nrc_Udp_Meta_TDATA => sROLE_Nrc_Udp_Meta_TDATA ,
963 siROLE_Nrc_Udp_Meta_TVALID => sROLE_Nrc_Udp_Meta_TVALID ,
964 siROLE_Nrc_Udp_Meta_TREADY => sROLE_Nrc_Udp_Meta_TREADY ,
965 siROLE_Nrc_Udp_Meta_TKEEP => sROLE_Nrc_Udp_Meta_TKEEP ,
966 siROLE_Nrc_Udp_Meta_TLAST => sROLE_Nrc_Udp_Meta_TLAST ,
967 soNRC_Role_Udp_Meta_TDATA => sNRC_Role_Udp_Meta_TDATA ,
968 soNRC_Role_Udp_Meta_TVALID => sNRC_Role_Udp_Meta_TVALID ,
969 soNRC_Role_Udp_Meta_TREADY => sNRC_Role_Udp_Meta_TREADY ,
970 soNRC_Role_Udp_Meta_TKEEP => sNRC_Role_Udp_Meta_TKEEP ,
971 soNRC_Role_Udp_Meta_TLAST => sNRC_Role_Udp_Meta_TLAST ,
977 siROL_Nts_Tcp_Data_tdata => sROL_Shl_Nts0_Tcp_Axis_tdata,
978 siROL_Nts_Tcp_Data_tkeep => sROL_Shl_Nts0_Tcp_Axis_tkeep,
979 siROL_Nts_Tcp_Data_tlast => sROL_Shl_Nts0_Tcp_Axis_tlast,
980 siROL_Nts_Tcp_Data_tvalid => sROL_Shl_Nts0_Tcp_Axis_tvalid,
981 siROL_Nts_Tcp_Data_tready => sSHL_Rol_Nts0_Tcp_Axis_tready,
983 soROL_Nts_Tcp_Data_tdata => sSHL_Rol_Nts0_Tcp_Axis_tdata ,
984 soROL_Nts_Tcp_Data_tkeep => sSHL_Rol_Nts0_Tcp_Axis_tkeep,
985 soROL_Nts_Tcp_Data_tlast => sSHL_Rol_Nts0_Tcp_Axis_tlast ,
986 soROL_Nts_Tcp_Data_tvalid => sSHL_Rol_Nts0_Tcp_Axis_tvalid,
987 soROL_Nts_Tcp_Data_tready => sROL_Shl_Nts0_Tcp_Axis_tready,
989 piROL_Nrc_Tcp_Rx_ports => sROL_Nrc_Tcp_Rx_ports ,
991 siROLE_Nrc_Tcp_Meta_TDATA => sROLE_Nrc_Tcp_Meta_TDATA ,
992 siROLE_Nrc_Tcp_Meta_TVALID => sROLE_Nrc_Tcp_Meta_TVALID ,
993 siROLE_Nrc_Tcp_Meta_TREADY => sROLE_Nrc_Tcp_Meta_TREADY ,
994 siROLE_Nrc_Tcp_Meta_TKEEP => sROLE_Nrc_Tcp_Meta_TKEEP ,
995 siROLE_Nrc_Tcp_Meta_TLAST => sROLE_Nrc_Tcp_Meta_TLAST ,
996 soNRC_Role_Tcp_Meta_TDATA => sNRC_Role_Tcp_Meta_TDATA ,
997 soNRC_Role_Tcp_Meta_TVALID => sNRC_Role_Tcp_Meta_TVALID ,
998 soNRC_Role_Tcp_Meta_TREADY => sNRC_Role_Tcp_Meta_TREADY ,
999 soNRC_Role_Tcp_Meta_TKEEP => sNRC_Role_Tcp_Meta_TKEEP ,
1000 soNRC_Role_Tcp_Meta_TLAST => sNRC_Role_Tcp_Meta_TLAST ,
1007 siROL_Mem_Mp0_RdCmd_tdata => ssROL_SHL_Mem_Mp0_RdCmd_tdata,
1008 siROL_Mem_Mp0_RdCmd_tvalid => ssROL_SHL_Mem_Mp0_RdCmd_tvalid,
1009 siROL_Mem_Mp0_RdCmd_tready => ssROL_SHL_Mem_Mp0_RdCmd_tready,
1011 soROL_Mem_Mp0_RdSts_tdata => ssSHL_ROL_Mem_Mp0_RdSts_tdata,
1012 soROL_Mem_Mp0_RdSts_tvalid => ssSHL_ROL_Mem_Mp0_RdSts_tvalid,
1013 soROL_Mem_Mp0_RdSts_tready => ssSHL_ROL_Mem_Mp0_RdSts_tready,
1015 soROL_Mem_Mp0_Read_tdata => ssSHL_ROL_Mem_Mp0_Read_tdata,
1016 soROL_Mem_Mp0_Read_tkeep => ssSHL_ROL_Mem_Mp0_Read_tkeep,
1017 soROL_Mem_Mp0_Read_tlast => ssSHL_ROL_Mem_Mp0_Read_tlast,
1018 soROL_Mem_Mp0_Read_tvalid => ssSHL_ROL_Mem_Mp0_Read_tvalid,
1019 soROL_Mem_Mp0_Read_tready => ssSHL_ROL_Mem_Mp0_Read_tready,
1021 siROL_Mem_Mp0_WrCmd_tdata => ssROL_SHL_Mem_Mp0_WrCmd_tdata,
1022 siROL_Mem_Mp0_WrCmd_tvalid => ssROL_SHL_Mem_Mp0_WrCmd_tvalid,
1023 siROL_Mem_Mp0_WrCmd_tready => ssROL_SHL_Mem_Mp0_WrCmd_tready,
1025 soROL_Mem_Mp0_WrSts_tvalid => ssSHL_ROL_Mem_Mp0_WrSts_tvalid,
1026 soROL_Mem_Mp0_WrSts_tdata => ssSHL_ROL_Mem_Mp0_WrSts_tdata,
1027 soROL_Mem_Mp0_WrSts_tready => ssSHL_ROL_Mem_Mp0_WrSts_tready,
1029 siROL_Mem_Mp0_Write_tdata => ssROL_SHL_Mem_Mp0_Write_tdata,
1030 siROL_Mem_Mp0_Write_tkeep => ssROL_SHL_Mem_Mp0_Write_tkeep,
1031 siROL_Mem_Mp0_Write_tlast => ssROL_SHL_Mem_Mp0_Write_tlast,
1032 siROL_Mem_Mp0_Write_tvalid => ssROL_SHL_Mem_Mp0_Write_tvalid,
1033 siROL_Mem_Mp0_Write_tready => ssROL_SHL_Mem_Mp0_Write_tready,
1038 miROL_Mem_Mp1_AWID => smROL_SHL_Mem_Mp1_AWID ,
1039 miROL_Mem_Mp1_AWADDR => smROL_SHL_Mem_Mp1_AWADDR ,
1040 miROL_Mem_Mp1_AWLEN => smROL_SHL_Mem_Mp1_AWLEN ,
1041 miROL_Mem_Mp1_AWSIZE => smROL_SHL_Mem_Mp1_AWSIZE ,
1042 miROL_Mem_Mp1_AWBURST => smROL_SHL_Mem_Mp1_AWBURST ,
1043 miROL_Mem_Mp1_AWVALID => smROL_SHL_Mem_Mp1_AWVALID ,
1044 miROL_Mem_Mp1_AWREADY => smROL_SHL_Mem_Mp1_AWREADY ,
1045 miROL_Mem_Mp1_WDATA => smROL_SHL_Mem_Mp1_WDATA ,
1046 miROL_Mem_Mp1_WSTRB => smROL_SHL_Mem_Mp1_WSTRB ,
1047 miROL_Mem_Mp1_WLAST => smROL_SHL_Mem_Mp1_WLAST ,
1048 miROL_Mem_Mp1_WVALID => smROL_SHL_Mem_Mp1_WVALID ,
1049 miROL_Mem_Mp1_WREADY => smROL_SHL_Mem_Mp1_WREADY ,
1050 miROL_Mem_Mp1_BID => smROL_SHL_Mem_Mp1_BID ,
1051 miROL_Mem_Mp1_BRESP => smROL_SHL_Mem_Mp1_BRESP ,
1052 miROL_Mem_Mp1_BVALID => smROL_SHL_Mem_Mp1_BVALID ,
1053 miROL_Mem_Mp1_BREADY => smROL_SHL_Mem_Mp1_BREADY ,
1054 miROL_Mem_Mp1_ARID => smROL_SHL_Mem_Mp1_ARID ,
1055 miROL_Mem_Mp1_ARADDR => smROL_SHL_Mem_Mp1_ARADDR ,
1056 miROL_Mem_Mp1_ARLEN => smROL_SHL_Mem_Mp1_ARLEN ,
1057 miROL_Mem_Mp1_ARSIZE => smROL_SHL_Mem_Mp1_ARSIZE ,
1058 miROL_Mem_Mp1_ARBURST => smROL_SHL_Mem_Mp1_ARBURST ,
1059 miROL_Mem_Mp1_ARVALID => smROL_SHL_Mem_Mp1_ARVALID ,
1060 miROL_Mem_Mp1_ARREADY => smROL_SHL_Mem_Mp1_ARREADY ,
1061 miROL_Mem_Mp1_RID => smROL_SHL_Mem_Mp1_RID ,
1062 miROL_Mem_Mp1_RDATA => smROL_SHL_Mem_Mp1_RDATA ,
1063 miROL_Mem_Mp1_RRESP => smROL_SHL_Mem_Mp1_RRESP ,
1064 miROL_Mem_Mp1_RLAST => smROL_SHL_Mem_Mp1_RLAST ,
1065 miROL_Mem_Mp1_RVALID => smROL_SHL_Mem_Mp1_RVALID ,
1066 miROL_Mem_Mp1_RREADY => smROL_SHL_Mem_Mp1_RREADY ,
1072 poROL_Mmio_Ly7Rst =>
(sSHL_ROL_Mmio_Ly7Rst
),
1074 poROL_Mmio_Ly7En =>
(sSHL_ROL_Mmio_Ly7En
),
1076 poROL_Mmio_Mc1_MemTestCtrl => sSHL_ROL_Mmio_Mc1_MemTestCtrl,
1078 piROL_Mmio_Mc1_MemTestStat => sROL_SHL_Mmio_Mc1_MemTestStat,
1080 poROL_Mmio_UdpEchoCtrl => sSHL_ROL_Mmio_UdpEchoCtrl,
1081 poROL_Mmio_UdpPostDgmEn => sSHL_ROL_Mmio_UdpPostDgmEn,
1082 poROL_Mmio_UdpCaptDgmEn => sSHL_ROL_Mmio_UdpCaptDgmEn,
1083 poROL_Mmio_TcpEchoCtrl => sSHL_ROL_Mmio_TcpEchoCtrl,
1084 poROL_Mmio_TcpPostSegEn => sSHL_ROL_Mmio_TcpPostSegEn,
1085 poROL_Mmio_TcpCaptSegEn => sSHL_ROL_Mmio_TcpCaptSegEn,
1087 piROL_Mmio_RdReg => sROL_SHL_Mmio_RdReg,
1089 poROL_Mmio_WrReg => sSHL_ROL_Mmio_WrReg,
1094 poROL_Fmc_Rank => sSHL_ROL_Fmc_Rank,
1095 poROL_Fmc_Size => sSHL_ROL_Fmc_Size,
1107 sROL_SHL_Mmio_Mc1_MemTestStat <= (others => '0');
1111 sROL_reset_combinded <= sSHL_156_25Rst or sSHL_ROL_Mmio_Ly7Rst;
in soNRC_Tcp_Data_treadystd_ulogic
out moMEM_Mp1_ARSIZEstd_ulogic_vector(2 downto 0)
out moMEM_Mp1_AWADDRstd_ulogic_vector(32 downto 0)
out soNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Udp_Meta_TREADYstd_ulogic
in piSHL_156_25Clkstd_ulogic
out moMEM_Mp1_RREADYstd_ulogic
out soROLE_Nrc_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piSHL_156_25Rststd_ulogic
in moMEM_Mp1_RLASTstd_ulogic
in siNRC_Udp_Data_tlaststd_ulogic
out siMEM_Mp0_Read_treadystd_ulogic
in siNRC_Role_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tkeepstd_ulogic_vector(63 downto 0)
in dpBSCAN_resetstd_logic
out soNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Tcp_Meta_TLASTstd_ulogic
in siMEM_Mp0_WrSts_tdatastd_ulogic_vector(7 downto 0)
out soMEM_Mp0_Write_tkeepstd_ulogic_vector(63 downto 0)
out siNRC_Tcp_Data_treadystd_ulogic
in siNRC_Role_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in moMEM_Mp1_BVALIDstd_ulogic
out moMEM_Mp1_WSTRBstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_BREADYstd_ulogic
out moMEM_Mp1_AWIDstd_ulogic_vector(7 downto 0)
in moMEM_Mp1_RVALIDstd_ulogic
in soNRC_Udp_Data_treadystd_ulogic
in soMEM_Mp0_WrCmd_treadystd_ulogic
in siNRC_Udp_Data_tvalidstd_ulogic
in piMMIO_Ly7_Enstd_ulogic
in soMEM_Mp0_Write_treadystd_ulogic
out soNRC_Udp_Data_tlaststd_ulogic
out soROLE_Nrc_Udp_Meta_TVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TVALIDstd_ulogic
out moMEM_Mp1_WLASTstd_ulogic
out soNRC_Udp_Data_tvalidstd_ulogic
out moMEM_Mp1_WDATAstd_ulogic_vector(511 downto 0)
in siNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out soMEM_Mp0_RdCmd_tdatastd_ulogic_vector(79 downto 0)
in siMEM_Mp0_Read_tdatastd_ulogic_vector(511 downto 0)
out soMEM_Mp0_Write_tdatastd_ulogic_vector(511 downto 0)
out siMEM_Mp0_RdSts_treadystd_ulogic
out moMEM_Mp1_ARBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Role_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_RdSts_tdatastd_ulogic_vector(7 downto 0)
out poROL_Nrc_Tcp_Rx_portsstd_ulogic_vector(31 downto 0)
in siNRC_Tcp_Data_tvalidstd_ulogic
in siNRC_Role_Udp_Meta_TLASTstd_ulogic
in moMEM_Mp1_ARREADYstd_ulogic
out siNRC_Udp_Data_treadystd_ulogic
in moMEM_Mp1_RRESPstd_ulogic_vector(1 downto 0)
out soMEM_Mp0_Write_tlaststd_ulogic
out moMEM_Mp1_ARIDstd_ulogic_vector(7 downto 0)
in dpBSCAN_capturestd_logic
out soMEM_Mp0_WrCmd_tvalidstd_ulogic
out moMEM_Mp1_ARVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_RdCmd_tvalidstd_ulogic
out soNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tvalidstd_ulogic
in dpBSCAN_bscanid_enstd_logic
in moMEM_Mp1_RIDstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in piFMC_ROLE_rankstd_logic_vector(31 downto 0)
out soROLE_Nrc_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piTOP_250_00Clkstd_ulogic
out moMEM_Mp1_AWLENstd_ulogic_vector(7 downto 0)
in piFMC_ROLE_sizestd_logic_vector(31 downto 0)
in siNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in soMEM_Mp0_RdCmd_treadystd_ulogic
in moMEM_Mp1_WREADYstd_ulogic
out siNRC_Role_Udp_Meta_TREADYstd_ulogic
out soNRC_Tcp_Data_tlaststd_ulogic
in siMEM_Mp0_RdSts_tvalidstd_ulogic
out soROLE_Nrc_Udp_Meta_TLASTstd_ulogic
out moMEM_Mp1_AWSIZEstd_ulogic_vector(2 downto 0)
in dpBSCAN_shiftstd_logic
in moMEM_Mp1_RDATAstd_ulogic_vector(511 downto 0)
in moMEM_Mp1_BIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tdatastd_ulogic_vector(79 downto 0)
in piMMIO_Ly7_Rststd_ulogic
out poSHL_Mmio_RdRegstd_ulogic_vector(15 downto 0)
out siNRC_Role_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Udp_Meta_TVALIDstd_ulogic
in moMEM_Mp1_BRESPstd_ulogic_vector(1 downto 0)
out moMEM_Mp1_WVALIDstd_ulogic
in dpBSCAN_updatestd_logic
in siNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
out moMEM_Mp1_ARLENstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Tcp_Meta_TLASTstd_ulogic
out soNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siNRC_Tcp_Data_tlaststd_ulogic
out siMEM_Mp0_WrSts_treadystd_ulogic
in dpBSCAN_runteststd_logic
in siMEM_Mp0_WrSts_tvalidstd_ulogic
out soMEM_Mp0_Write_tvalidstd_ulogic
out moMEM_Mp1_ARADDRstd_ulogic_vector(32 downto 0)
in moMEM_Mp1_AWREADYstd_ulogic
out poROL_Nrc_Udp_Rx_portsstd_ulogic_vector(31 downto 0)
in siMEM_Mp0_Read_tlaststd_ulogic
out soNRC_Tcp_Data_tvalidstd_ulogic
out poDDR4_Mem_Mc0_Reset_nstd_ulogic
inout pioPSOC_Emif_Datastd_ulogic_vector( gEmifDataWidth- 1 downto 0)
out poDDR4_Mem_Mc0_Odtstd_ulogic
out poDDR4_Mem_Mc0_Act_nstd_ulogic
in piPSOC_Emif_Addrstd_ulogic_vector( gEmifAddrWidth- 1 downto 0)
in piPSOC_Emif_Clkstd_ulogic
inout pioDDR4_Mem_Mc0_Dqs_nstd_ulogic_vector(8 downto 0)
in piPSOC_Emif_Cs_nstd_ulogic
gBitstreamUsagestring := "flash"
out poDDR4_Mem_Mc1_Ck_nstd_ulogic
inout pioDDR4_Mem_Mc0_DmDbi_nstd_ulogic_vector(8 downto 0)
gEmifDataWidthinteger :=8
in piCLKT_Usr1Clk_nstd_ulogic
out poDDR4_Mem_Mc0_Ck_nstd_ulogic
in piCLKT_10GeClk_pstd_ulogic
out poDDR4_Mem_Mc0_Ck_pstd_ulogic
in piPSOC_Emif_AdS_nstd_ulogic
in piPSOC_Emif_We_nstd_ulogic
out poDDR4_Mem_Mc0_Adrstd_ulogic_vector(16 downto 0)
out poLED_HeartBeat_nstd_ulogic
in piPSOC_Emif_Oe_nstd_ulogic
gTopDateMonthstDate :=8d"00"
inout pioDDR4_Mem_Mc1_Dqs_nstd_ulogic_vector(8 downto 0)
in piCLKT_Mem0Clk_nstd_ulogic
inout pioDDR4_Mem_Mc1_Dqstd_ulogic_vector(71 downto 0)
inout pioDDR4_Mem_Mc1_DmDbi_nstd_ulogic_vector(8 downto 0)
in piCLKT_Usr0Clk_nstd_ulogic
inout pioDDR4_Mem_Mc0_Dqstd_ulogic_vector(71 downto 0)
out poDDR4_Mem_Mc1_Ckestd_ulogic
in piCLKT_Mem1Clk_pstd_ulogic
inout pioDDR4_Mem_Mc0_Dqs_pstd_ulogic_vector(8 downto 0)
out poDDR4_Mem_Mc1_Adrstd_ulogic_vector(16 downto 0)
gTopDateDaystDate :=8d"00"
gSecurityPriviledgesstring := "super"
out poDDR4_Mem_Mc1_Ck_pstd_ulogic
gEmifAddrWidthinteger :=8
in piCLKT_Usr1Clk_pstd_ulogic
out poDDR4_Mem_Mc1_Cs_nstd_ulogic
out poDDR4_Mem_Mc0_Bgstd_ulogic_vector(1 downto 0)
in piECON_Eth_10Ge0_pstd_ulogic
out poDDR4_Mem_Mc0_Cs_nstd_ulogic
in piCLKT_Usr0Clk_pstd_ulogic
out poECON_Eth_10Ge0_nstd_ulogic
in piECON_Eth_10Ge0_nstd_ulogic
in piPSOC_Fcfg_Rst_nstd_ulogic
gTopDateYearstDate :=8d"00"
in piCLKT_Mem0Clk_pstd_ulogic
out poECON_Eth_10Ge0_pstd_ulogic
out poDDR4_Mem_Mc1_Act_nstd_ulogic
inout pioDDR4_Mem_Mc1_Dqs_pstd_ulogic_vector(8 downto 0)
out poDDR4_Mem_Mc0_Bastd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Bastd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Bgstd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Odtstd_ulogic
out poDDR4_Mem_Mc1_Reset_nstd_ulogic
in piCLKT_10GeClk_nstd_ulogic
out poDDR4_Mem_Mc0_Ckestd_ulogic
in piCLKT_Mem1Clk_nstd_ulogic