1 /*******************************************************************************
4 * Licensed under the Apache License, Version 2.
0 (the "License");
5 * you may not use this file except in compliance with the License.
6 * You may obtain a copy of the License at
8 * http://www.apache.org/licenses/LICENSE-2.
0
10 * Unless required by applicable law or agreed to in writing, software
11 * distributed under the License is distributed on an "AS IS" BASIS,
12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13 * See the License for the specific language governing permissions and
14 * limitations under the License.
15 *******************************************************************************/
31 use IEEE.std_logic_1164.
all;
32 use IEEE.numeric_std.
all;
35 use UNISIM.vcomponents.
all;
40 library XIL_DEFAULTLIB;
41 use XIL_DEFAULTLIB.topFMKU_pkg.
all;
165 signal sTOP_156_25Clk : std_ulogic;
166 signal sTOP_250_00Clk : std_ulogic;
169 signal sTOP_156_25Rst_n : std_ulogic;
170 signal sTOP_156_25Rst : std_ulogic;
173 signal sSHL_156_25Clk : std_ulogic;
174 signal sSHL_156_25Rst : std_ulogic;
177 signal sTOP_Timestamp : stTimeStamp;
184 signal sROL_Shl_Nts0_Udp_Axis_tdata : std_ulogic_vector( 63 downto 0);
185 signal sROL_Shl_Nts0_Udp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
186 signal sROL_Shl_Nts0_Udp_Axis_tlast : std_ulogic;
187 signal sROL_Shl_Nts0_Udp_Axis_tvalid : std_ulogic;
188 signal sSHL_Rol_Nts0_Udp_Axis_tready : std_ulogic;
190 signal sROL_Shl_Nts0_Udp_Axis_tready : std_ulogic;
191 signal sSHL_Rol_Nts0_Udp_Axis_tdata : std_ulogic_vector( 63 downto 0);
192 signal sSHL_Rol_Nts0_Udp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
193 signal sSHL_Rol_Nts0_Udp_Axis_tlast : std_ulogic;
194 signal sSHL_Rol_Nts0_Udp_Axis_tvalid : std_ulogic;
196 signal sROL_Nrc_Udp_Rx_ports : std_ulogic_vector( 31 downto 0);
198 signal sROLE_Nrc_Udp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
199 signal sROLE_Nrc_Udp_Meta_TVALID : std_ulogic;
200 signal sROLE_Nrc_Udp_Meta_TREADY : std_ulogic;
201 signal sROLE_Nrc_Udp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
202 signal sROLE_Nrc_Udp_Meta_TLAST : std_ulogic;
203 signal sNRC_Role_Udp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
204 signal sNRC_Role_Udp_Meta_TVALID : std_ulogic;
205 signal sNRC_Role_Udp_Meta_TREADY : std_ulogic;
206 signal sNRC_Role_Udp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
207 signal sNRC_Role_Udp_Meta_TLAST : std_ulogic;
211 signal sROL_Shl_Nts0_Tcp_Axis_tdata : std_ulogic_vector( 63 downto 0);
212 signal sROL_Shl_Nts0_Tcp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
213 signal sROL_Shl_Nts0_Tcp_Axis_tlast : std_ulogic;
214 signal sROL_Shl_Nts0_Tcp_Axis_tvalid : std_ulogic;
215 signal sSHL_Rol_Nts0_Tcp_Axis_tready : std_ulogic;
217 signal sROL_Shl_Nts0_Tcp_Axis_tready : std_ulogic;
218 signal sSHL_Rol_Nts0_Tcp_Axis_tdata : std_ulogic_vector( 63 downto 0);
219 signal sSHL_Rol_Nts0_Tcp_Axis_tkeep : std_ulogic_vector( 7 downto 0);
220 signal sSHL_Rol_Nts0_Tcp_Axis_tlast : std_ulogic;
221 signal sSHL_Rol_Nts0_Tcp_Axis_tvalid : std_ulogic;
223 signal sROL_Nrc_Tcp_Rx_ports : std_ulogic_vector( 31 downto 0);
225 signal sROLE_Nrc_Tcp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
226 signal sROLE_Nrc_Tcp_Meta_TVALID : std_ulogic;
227 signal sROLE_Nrc_Tcp_Meta_TREADY : std_ulogic;
228 signal sROLE_Nrc_Tcp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
229 signal sROLE_Nrc_Tcp_Meta_TLAST : std_ulogic;
230 signal sNRC_Role_Tcp_Meta_TDATA : std_ulogic_vector( 63 downto 0);
231 signal sNRC_Role_Tcp_Meta_TVALID : std_ulogic;
232 signal sNRC_Role_Tcp_Meta_TREADY : std_ulogic;
233 signal sNRC_Role_Tcp_Meta_TKEEP : std_ulogic_vector( 7 downto 0);
234 signal sNRC_Role_Tcp_Meta_TLAST : std_ulogic;
243 signal ssROL_SHL_Mem_Mp0_RdCmd_tdata : std_ulogic_vector( 79 downto 0);
244 signal ssROL_SHL_Mem_Mp0_RdCmd_tvalid : std_ulogic;
245 signal ssROL_SHL_Mem_Mp0_RdCmd_tready : std_ulogic;
247 signal ssSHL_ROL_Mem_Mp0_RdSts_tdata : std_ulogic_vector( 7 downto 0);
248 signal ssSHL_ROL_Mem_Mp0_RdSts_tvalid : std_ulogic;
249 signal ssSHL_ROL_Mem_Mp0_RdSts_tready : std_ulogic;
251 signal ssSHL_ROL_Mem_Mp0_Read_tdata : std_ulogic_vector(511 downto 0);
252 signal ssSHL_ROL_Mem_Mp0_Read_tkeep : std_ulogic_vector( 63 downto 0);
253 signal ssSHL_ROL_Mem_Mp0_Read_tlast : std_ulogic;
254 signal ssSHL_ROL_Mem_Mp0_Read_tvalid : std_ulogic;
255 signal ssSHL_ROL_Mem_Mp0_Read_tready : std_ulogic;
257 signal ssROL_SHL_Mem_Mp0_WrCmd_tdata : std_ulogic_vector( 79 downto 0);
258 signal ssROL_SHL_Mem_Mp0_WrCmd_tvalid : std_ulogic;
259 signal ssROL_SHL_Mem_Mp0_WrCmd_tready : std_ulogic;
261 signal ssSHL_ROL_Mem_Mp0_WrSts_tdata : std_ulogic_vector( 7 downto 0);
262 signal ssSHL_ROL_Mem_Mp0_WrSts_tvalid : std_ulogic;
263 signal ssSHL_ROL_Mem_Mp0_WrSts_tready : std_ulogic;
265 signal ssROL_SHL_Mem_Mp0_Write_tdata : std_ulogic_vector(511 downto 0);
266 signal ssROL_SHL_Mem_Mp0_Write_tkeep : std_ulogic_vector( 63 downto 0);
267 signal ssROL_SHL_Mem_Mp0_Write_tlast : std_ulogic;
268 signal ssROL_SHL_Mem_Mp0_Write_tvalid : std_ulogic;
269 signal ssROL_SHL_Mem_Mp0_Write_tready : std_ulogic;
271 signal smROL_SHL_Mem_Mp1_AWID : std_ulogic_vector(7 downto 0);
272 signal smROL_SHL_Mem_Mp1_AWADDR : std_ulogic_vector(32 downto 0);
273 signal smROL_SHL_Mem_Mp1_AWLEN : std_ulogic_vector(7 downto 0);
274 signal smROL_SHL_Mem_Mp1_AWSIZE : std_ulogic_vector(2 downto 0);
275 signal smROL_SHL_Mem_Mp1_AWBURST : std_ulogic_vector(1 downto 0);
276 signal smROL_SHL_Mem_Mp1_AWVALID : std_ulogic;
277 signal smROL_SHL_Mem_Mp1_AWREADY : std_ulogic;
278 signal smROL_SHL_Mem_Mp1_WDATA : std_ulogic_vector(511 downto 0);
279 signal smROL_SHL_Mem_Mp1_WSTRB : std_ulogic_vector(63 downto 0);
280 signal smROL_SHL_Mem_Mp1_WLAST : std_ulogic;
281 signal smROL_SHL_Mem_Mp1_WVALID : std_ulogic;
282 signal smROL_SHL_Mem_Mp1_WREADY : std_ulogic;
283 signal smROL_SHL_Mem_Mp1_BID : std_ulogic_vector(7 downto 0);
284 signal smROL_SHL_Mem_Mp1_BRESP : std_ulogic_vector(1 downto 0);
285 signal smROL_SHL_Mem_Mp1_BVALID : std_ulogic;
286 signal smROL_SHL_Mem_Mp1_BREADY : std_ulogic;
287 signal smROL_SHL_Mem_Mp1_ARID : std_ulogic_vector(7 downto 0);
288 signal smROL_SHL_Mem_Mp1_ARADDR : std_ulogic_vector(32 downto 0);
289 signal smROL_SHL_Mem_Mp1_ARLEN : std_ulogic_vector(7 downto 0);
290 signal smROL_SHL_Mem_Mp1_ARSIZE : std_ulogic_vector(2 downto 0);
291 signal smROL_SHL_Mem_Mp1_ARBURST : std_ulogic_vector(1 downto 0);
292 signal smROL_SHL_Mem_Mp1_ARVALID : std_ulogic;
293 signal smROL_SHL_Mem_Mp1_ARREADY : std_ulogic;
294 signal smROL_SHL_Mem_Mp1_RID : std_ulogic_vector(7 downto 0);
295 signal smROL_SHL_Mem_Mp1_RDATA : std_ulogic_vector(511 downto 0);
296 signal smROL_SHL_Mem_Mp1_RRESP : std_ulogic_vector(1 downto 0);
297 signal smROL_SHL_Mem_Mp1_RLAST : std_ulogic;
298 signal smROL_SHL_Mem_Mp1_RVALID : std_ulogic;
299 signal smROL_SHL_Mem_Mp1_RREADY : std_ulogic;
306 signal sSHL_ROL_Mmio_Ly7Rst : std_ulogic;
308 signal sSHL_ROL_Mmio_Ly7En : std_ulogic;
310 signal sSHL_ROL_Mmio_Mc1_MemTestCtrl : std_ulogic_vector( 1 downto 0);
312 signal sROL_SHL_Mmio_Mc1_MemTestStat : std_ulogic_vector( 1 downto 0);
314 signal sSHL_ROL_Mmio_UdpEchoCtrl : std_ulogic_vector( 1 downto 0);
315 signal sSHL_ROL_Mmio_UdpPostDgmEn : std_ulogic;
316 signal sSHL_ROL_Mmio_UdpCaptDgmEn : std_ulogic;
317 signal sSHL_ROL_Mmio_TcpEchoCtrl : std_ulogic_vector( 1 downto 0);
318 signal sSHL_ROL_Mmio_TcpPostSegEn : std_ulogic;
319 signal sSHL_ROL_Mmio_TcpCaptSegEn : std_ulogic;
321 signal sROL_SHL_Mmio_RdReg : std_ulogic_vector( 15 downto 0);
323 signal sSHL_ROL_Mmio_WrReg : std_ulogic_vector( 15 downto 0);
328 signal sSHL_ROL_Fmc_Rank : std_ulogic_vector( 31 downto 0);
329 signal sSHL_ROL_Fmc_Size : std_ulogic_vector( 31 downto 0);
331 signal sROL_reset_combinded : std_ulogic;
340 component Shell_Themisto
342 gSecurityPriviledges :
string :=
"super";
343 gBitstreamUsage :
string :=
"flash";
344 gMmioAddrWidth :
integer :=
8;
345 gMmioDataWidth :
integer :=
8
351 piTOP_156_25Rst :
in std_ulogic;
352 piTOP_156_25Clk :
in std_ulogic;
357 piTOP_Timestamp :
in std_ulogic_vector(
31 downto 0);
362 piCLKT_Mem0Clk_n :
in std_ulogic;
363 piCLKT_Mem0Clk_p :
in std_ulogic;
364 piCLKT_Mem1Clk_n :
in std_ulogic;
365 piCLKT_Mem1Clk_p :
in std_ulogic;
366 piCLKT_10GeClk_n :
in std_ulogic;
367 piCLKT_10GeClk_p :
in std_ulogic;
372 piPSOC_Emif_Clk :
in std_ulogic;
373 piPSOC_Emif_Cs_n :
in std_ulogic;
374 piPSOC_Emif_We_n :
in std_ulogic;
375 piPSOC_Emif_Oe_n :
in std_ulogic;
376 piPSOC_Emif_AdS_n :
in std_ulogic;
377 piPSOC_Emif_Addr :
in std_ulogic_vector(gMmioAddrWidth
-1 downto 0);
378 pioPSOC_Emif_Data :
inout std_ulogic_vector(gMmioDataWidth
-1 downto 0);
383 poLED_HeartBeat_n :
out std_ulogic;
388 pioDDR4_Mem_Mc0_DmDbi_n :
inout std_ulogic_vector(
8 downto 0);
389 pioDDR4_Mem_Mc0_Dq :
inout std_ulogic_vector(
71 downto 0);
390 pioDDR4_Mem_Mc0_Dqs_n :
inout std_ulogic_vector(
8 downto 0);
391 pioDDR4_Mem_Mc0_Dqs_p :
inout std_ulogic_vector(
8 downto 0);
392 poDDR4_Mem_Mc0_Act_n :
out std_ulogic;
393 poDDR4_Mem_Mc0_Adr :
out std_ulogic_vector(
16 downto 0);
394 poDDR4_Mem_Mc0_Ba :
out std_ulogic_vector(
1 downto 0);
395 poDDR4_Mem_Mc0_Bg :
out std_ulogic_vector(
1 downto 0);
396 poDDR4_Mem_Mc0_Cke :
out std_ulogic;
397 poDDR4_Mem_Mc0_Odt :
out std_ulogic;
398 poDDR4_Mem_Mc0_Cs_n :
out std_ulogic;
399 poDDR4_Mem_Mc0_Ck_n :
out std_ulogic;
400 poDDR4_Mem_Mc0_Ck_p :
out std_ulogic;
401 poDDR4_Mem_Mc0_Reset_n :
out std_ulogic;
406 pioDDR4_Mem_Mc1_DmDbi_n :
inout std_ulogic_vector(
8 downto 0);
407 pioDDR4_Mem_Mc1_Dq :
inout std_ulogic_vector(
71 downto 0);
408 pioDDR4_Mem_Mc1_Dqs_n :
inout std_ulogic_vector(
8 downto 0);
409 pioDDR4_Mem_Mc1_Dqs_p :
inout std_ulogic_vector(
8 downto 0);
410 poDDR4_Mem_Mc1_Act_n :
out std_ulogic;
411 poDDR4_Mem_Mc1_Adr :
out std_ulogic_vector(
16 downto 0);
412 poDDR4_Mem_Mc1_Ba :
out std_ulogic_vector(
1 downto 0);
413 poDDR4_Mem_Mc1_Bg :
out std_ulogic_vector(
1 downto 0);
414 poDDR4_Mem_Mc1_Cke :
out std_ulogic;
415 poDDR4_Mem_Mc1_Odt :
out std_ulogic;
416 poDDR4_Mem_Mc1_Cs_n :
out std_ulogic;
417 poDDR4_Mem_Mc1_Ck_n :
out std_ulogic;
418 poDDR4_Mem_Mc1_Ck_p :
out std_ulogic;
419 poDDR4_Mem_Mc1_Reset_n :
out std_ulogic;
424 piECON_Eth_10Ge0_n :
in std_ulogic;
425 piECON_Eth_10Ge0_p :
in std_ulogic;
426 poECON_Eth_10Ge0_n :
out std_ulogic;
427 poECON_Eth_10Ge0_p :
out std_ulogic;
432 poROL_156_25Clk :
out std_ulogic;
433 poROL_156_25Rst :
out std_ulogic;
439 siROL_Nts_Udp_Data_tdata :
in std_ulogic_vector(
63 downto 0);
440 siROL_Nts_Udp_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
441 siROL_Nts_Udp_Data_tlast :
in std_ulogic;
442 siROL_Nts_Udp_Data_tvalid :
in std_ulogic;
443 siROL_Nts_Udp_Data_tready :
out std_ulogic;
445 soROL_Nts_Udp_Data_tdata :
out std_ulogic_vector(
63 downto 0);
446 soROL_Nts_Udp_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
447 soROL_Nts_Udp_Data_tlast :
out std_ulogic;
448 soROL_Nts_Udp_Data_tvalid :
out std_ulogic;
449 soROL_Nts_Udp_Data_tready :
in std_ulogic;
451 piROL_Nrc_Udp_Rx_ports :
in std_ulogic_vector(
31 downto 0);
453 siROLE_Nrc_Udp_Meta_TDATA :
in std_ulogic_vector(
63 downto 0);
454 siROLE_Nrc_Udp_Meta_TVALID :
in std_ulogic;
455 siROLE_Nrc_Udp_Meta_TREADY :
out std_ulogic;
456 siROLE_Nrc_Udp_Meta_TKEEP :
in std_ulogic_vector(
7 downto 0);
457 siROLE_Nrc_Udp_Meta_TLAST :
in std_ulogic;
458 soNRC_Role_Udp_Meta_TDATA :
out std_ulogic_vector(
63 downto 0);
459 soNRC_Role_Udp_Meta_TVALID :
out std_ulogic;
460 soNRC_Role_Udp_Meta_TREADY :
in std_ulogic;
461 soNRC_Role_Udp_Meta_TKEEP :
out std_ulogic_vector(
7 downto 0);
462 soNRC_Role_Udp_Meta_TLAST :
out std_ulogic;
468 siROL_Nts_Tcp_Data_tdata :
in std_ulogic_vector(
63 downto 0);
469 siROL_Nts_Tcp_Data_tkeep :
in std_ulogic_vector(
7 downto 0);
470 siROL_Nts_Tcp_Data_tlast :
in std_ulogic;
471 siROL_Nts_Tcp_Data_tvalid :
in std_ulogic;
472 siROL_Nts_Tcp_Data_tready :
out std_ulogic;
474 soROL_Nts_Tcp_Data_tdata :
out std_ulogic_vector(
63 downto 0);
475 soROL_Nts_Tcp_Data_tkeep :
out std_ulogic_vector(
7 downto 0);
476 soROL_Nts_Tcp_Data_tlast :
out std_ulogic;
477 soROL_Nts_Tcp_Data_tvalid :
out std_ulogic;
478 soROL_Nts_Tcp_Data_tready :
in std_ulogic;
480 piROL_Nrc_Tcp_Rx_ports :
in std_ulogic_vector(
31 downto 0);
482 siROLE_Nrc_Tcp_Meta_TDATA :
in std_ulogic_vector(
63 downto 0);
483 siROLE_Nrc_Tcp_Meta_TVALID :
in std_ulogic;
484 siROLE_Nrc_Tcp_Meta_TREADY :
out std_ulogic;
485 siROLE_Nrc_Tcp_Meta_TKEEP :
in std_ulogic_vector(
7 downto 0);
486 siROLE_Nrc_Tcp_Meta_TLAST :
in std_ulogic;
487 soNRC_Role_Tcp_Meta_TDATA :
out std_ulogic_vector(
63 downto 0);
488 soNRC_Role_Tcp_Meta_TVALID :
out std_ulogic;
489 soNRC_Role_Tcp_Meta_TREADY :
in std_ulogic;
490 soNRC_Role_Tcp_Meta_TKEEP :
out std_ulogic_vector(
7 downto 0);
491 soNRC_Role_Tcp_Meta_TLAST :
out std_ulogic;
498 siROL_Mem_Mp0_RdCmd_tdata :
in std_ulogic_vector(
79 downto 0);
499 siROL_Mem_Mp0_RdCmd_tvalid :
in std_ulogic;
500 siROL_Mem_Mp0_RdCmd_tready :
out std_ulogic;
502 soROL_Mem_Mp0_RdSts_tdata :
out std_ulogic_vector(
7 downto 0);
503 soROL_Mem_Mp0_RdSts_tvalid :
out std_ulogic;
504 soROL_Mem_Mp0_RdSts_tready :
in std_ulogic;
506 soROL_Mem_Mp0_Read_tdata :
out std_ulogic_vector(
511 downto 0);
507 soROL_Mem_Mp0_Read_tkeep :
out std_ulogic_vector(
63 downto 0);
508 soROL_Mem_Mp0_Read_tlast :
out std_ulogic;
509 soROL_Mem_Mp0_Read_tvalid :
out std_ulogic;
510 soROL_Mem_Mp0_Read_tready :
in std_ulogic;
512 siROL_Mem_Mp0_WrCmd_tdata :
in std_ulogic_vector(
79 downto 0);
513 siROL_Mem_Mp0_WrCmd_tvalid :
in std_ulogic;
514 siROL_Mem_Mp0_WrCmd_tready :
out std_ulogic;
516 soROL_Mem_Mp0_WrSts_tvalid :
out std_ulogic;
517 soROL_Mem_Mp0_WrSts_tdata :
out std_ulogic_vector(
7 downto 0);
518 soROL_Mem_Mp0_WrSts_tready :
in std_ulogic;
520 siROL_Mem_Mp0_Write_tdata :
in std_ulogic_vector(
511 downto 0);
521 siROL_Mem_Mp0_Write_tkeep :
in std_ulogic_vector(
63 downto 0);
522 siROL_Mem_Mp0_Write_tlast :
in std_ulogic;
523 siROL_Mem_Mp0_Write_tvalid :
in std_ulogic;
524 siROL_Mem_Mp0_Write_tready :
out std_ulogic;
529 miROL_Mem_Mp1_AWID :
in std_ulogic_vector(
7 downto 0);
530 miROL_Mem_Mp1_AWADDR :
in std_ulogic_vector(
32 downto 0);
531 miROL_Mem_Mp1_AWLEN :
in std_ulogic_vector(
7 downto 0);
532 miROL_Mem_Mp1_AWSIZE :
in std_ulogic_vector(
2 downto 0);
533 miROL_Mem_Mp1_AWBURST :
in std_ulogic_vector(
1 downto 0);
534 miROL_Mem_Mp1_AWVALID :
in std_ulogic;
535 miROL_Mem_Mp1_AWREADY :
out std_ulogic;
536 miROL_Mem_Mp1_WDATA :
in std_ulogic_vector(
511 downto 0);
537 miROL_Mem_Mp1_WSTRB :
in std_ulogic_vector(
63 downto 0);
538 miROL_Mem_Mp1_WLAST :
in std_ulogic;
539 miROL_Mem_Mp1_WVALID :
in std_ulogic;
540 miROL_Mem_Mp1_WREADY :
out std_ulogic;
541 miROL_Mem_Mp1_BID :
out std_ulogic_vector(
7 downto 0);
542 miROL_Mem_Mp1_BRESP :
out std_ulogic_vector(
1 downto 0);
543 miROL_Mem_Mp1_BVALID :
out std_ulogic;
544 miROL_Mem_Mp1_BREADY :
in std_ulogic;
545 miROL_Mem_Mp1_ARID :
in std_ulogic_vector(
7 downto 0);
546 miROL_Mem_Mp1_ARADDR :
in std_ulogic_vector(
32 downto 0);
547 miROL_Mem_Mp1_ARLEN :
in std_ulogic_vector(
7 downto 0);
548 miROL_Mem_Mp1_ARSIZE :
in std_ulogic_vector(
2 downto 0);
549 miROL_Mem_Mp1_ARBURST :
in std_ulogic_vector(
1 downto 0);
550 miROL_Mem_Mp1_ARVALID :
in std_ulogic;
551 miROL_Mem_Mp1_ARREADY :
out std_ulogic;
552 miROL_Mem_Mp1_RID :
out std_ulogic_vector(
7 downto 0);
553 miROL_Mem_Mp1_RDATA :
out std_ulogic_vector(
511 downto 0);
554 miROL_Mem_Mp1_RRESP :
out std_ulogic_vector(
1 downto 0);
555 miROL_Mem_Mp1_RLAST :
out std_ulogic;
556 miROL_Mem_Mp1_RVALID :
out std_ulogic;
557 miROL_Mem_Mp1_RREADY :
in std_ulogic;
563 poROL_Mmio_Ly7Rst :
out std_ulogic;
565 poROL_Mmio_Ly7En :
out std_ulogic;
567 poROL_Mmio_Mc1_MemTestCtrl :
out std_ulogic_vector(
1 downto 0);
569 piROL_Mmio_Mc1_MemTestStat :
in std_ulogic_vector(
1 downto 0);
571 poROL_Mmio_UdpEchoCtrl :
out std_ulogic_vector(
1 downto 0);
572 poROL_Mmio_UdpPostDgmEn :
out std_ulogic;
573 poROL_Mmio_UdpCaptDgmEn :
out std_ulogic;
574 poROL_Mmio_TcpEchoCtrl :
out std_ulogic_vector(
1 downto 0);
575 poROL_Mmio_TcpPostSegEn :
out std_ulogic;
576 poROL_Mmio_TcpCaptSegEn :
out std_ulogic;
578 piROL_Mmio_RdReg :
in std_ulogic_vector(
15 downto 0);
580 poROL_Mmio_WrReg :
out std_ulogic_vector(
15 downto 0);
585 poROL_Fmc_Rank :
out std_logic_vector(
31 downto 0);
586 poROL_Fmc_Size :
out std_logic_vector(
31 downto 0);
588 poVoid :
out std_ulogic
591 end component Shell_Themisto;
800 TOP_META_RST : HARD_SYNC
803 IS_CLK_INVERTED => '0',
807 CLK => sTOP_156_25Clk,
809 DOUT => sTOP_156_25Rst_n
811 sTOP_156_25Rst <= not sTOP_156_25Rst_n;
820 TOP_TIMESTAMP : USR_ACCESSE2
823 DATA => sTOP_Timestamp,
832 SHELL : Shell_Themisto
834 gSecurityPriviledges =>
"super",
835 gBitstreamUsage =>
"flash",
843 piTOP_156_25Rst => sTOP_156_25Rst,
844 piTOP_156_25Clk => sTOP_156_25Clk,
849 piTOP_Timestamp => sTOP_Timestamp,
924 poROL_156_25Clk => sSHL_156_25Clk,
925 poROL_156_25Rst => sSHL_156_25Rst,
931 siROL_Nts_Udp_Data_tdata => sROL_Shl_Nts0_Udp_Axis_tdata,
932 siROL_Nts_Udp_Data_tkeep => sROL_Shl_Nts0_Udp_Axis_tkeep,
933 siROL_Nts_Udp_Data_tlast => sROL_Shl_Nts0_Udp_Axis_tlast,
934 siROL_Nts_Udp_Data_tvalid => sROL_Shl_Nts0_Udp_Axis_tvalid,
935 siROL_Nts_Udp_Data_tready => sSHL_Rol_Nts0_Udp_Axis_tready,
937 soROL_Nts_Udp_Data_tdata => sSHL_Rol_Nts0_Udp_Axis_tdata ,
938 soROL_Nts_Udp_Data_tkeep => sSHL_Rol_Nts0_Udp_Axis_tkeep,
939 soROL_Nts_Udp_Data_tlast => sSHL_Rol_Nts0_Udp_Axis_tlast ,
940 soROL_Nts_Udp_Data_tvalid => sSHL_Rol_Nts0_Udp_Axis_tvalid,
941 soROL_Nts_Udp_Data_tready => sROL_Shl_Nts0_Udp_Axis_tready,
943 piROL_Nrc_Udp_Rx_ports => sROL_Nrc_Udp_Rx_ports ,
945 siROLE_Nrc_Udp_Meta_TDATA => sROLE_Nrc_Udp_Meta_TDATA ,
946 siROLE_Nrc_Udp_Meta_TVALID => sROLE_Nrc_Udp_Meta_TVALID ,
947 siROLE_Nrc_Udp_Meta_TREADY => sROLE_Nrc_Udp_Meta_TREADY ,
948 siROLE_Nrc_Udp_Meta_TKEEP => sROLE_Nrc_Udp_Meta_TKEEP ,
949 siROLE_Nrc_Udp_Meta_TLAST => sROLE_Nrc_Udp_Meta_TLAST ,
950 soNRC_Role_Udp_Meta_TDATA => sNRC_Role_Udp_Meta_TDATA ,
951 soNRC_Role_Udp_Meta_TVALID => sNRC_Role_Udp_Meta_TVALID ,
952 soNRC_Role_Udp_Meta_TREADY => sNRC_Role_Udp_Meta_TREADY ,
953 soNRC_Role_Udp_Meta_TKEEP => sNRC_Role_Udp_Meta_TKEEP ,
954 soNRC_Role_Udp_Meta_TLAST => sNRC_Role_Udp_Meta_TLAST ,
960 siROL_Nts_Tcp_Data_tdata => sROL_Shl_Nts0_Tcp_Axis_tdata,
961 siROL_Nts_Tcp_Data_tkeep => sROL_Shl_Nts0_Tcp_Axis_tkeep,
962 siROL_Nts_Tcp_Data_tlast => sROL_Shl_Nts0_Tcp_Axis_tlast,
963 siROL_Nts_Tcp_Data_tvalid => sROL_Shl_Nts0_Tcp_Axis_tvalid,
964 siROL_Nts_Tcp_Data_tready => sSHL_Rol_Nts0_Tcp_Axis_tready,
966 soROL_Nts_Tcp_Data_tdata => sSHL_Rol_Nts0_Tcp_Axis_tdata ,
967 soROL_Nts_Tcp_Data_tkeep => sSHL_Rol_Nts0_Tcp_Axis_tkeep,
968 soROL_Nts_Tcp_Data_tlast => sSHL_Rol_Nts0_Tcp_Axis_tlast ,
969 soROL_Nts_Tcp_Data_tvalid => sSHL_Rol_Nts0_Tcp_Axis_tvalid,
970 soROL_Nts_Tcp_Data_tready => sROL_Shl_Nts0_Tcp_Axis_tready,
972 piROL_Nrc_Tcp_Rx_ports => sROL_Nrc_Tcp_Rx_ports ,
974 siROLE_Nrc_Tcp_Meta_TDATA => sROLE_Nrc_Tcp_Meta_TDATA ,
975 siROLE_Nrc_Tcp_Meta_TVALID => sROLE_Nrc_Tcp_Meta_TVALID ,
976 siROLE_Nrc_Tcp_Meta_TREADY => sROLE_Nrc_Tcp_Meta_TREADY ,
977 siROLE_Nrc_Tcp_Meta_TKEEP => sROLE_Nrc_Tcp_Meta_TKEEP ,
978 siROLE_Nrc_Tcp_Meta_TLAST => sROLE_Nrc_Tcp_Meta_TLAST ,
979 soNRC_Role_Tcp_Meta_TDATA => sNRC_Role_Tcp_Meta_TDATA ,
980 soNRC_Role_Tcp_Meta_TVALID => sNRC_Role_Tcp_Meta_TVALID ,
981 soNRC_Role_Tcp_Meta_TREADY => sNRC_Role_Tcp_Meta_TREADY ,
982 soNRC_Role_Tcp_Meta_TKEEP => sNRC_Role_Tcp_Meta_TKEEP ,
983 soNRC_Role_Tcp_Meta_TLAST => sNRC_Role_Tcp_Meta_TLAST ,
990 siROL_Mem_Mp0_RdCmd_tdata => ssROL_SHL_Mem_Mp0_RdCmd_tdata,
991 siROL_Mem_Mp0_RdCmd_tvalid => ssROL_SHL_Mem_Mp0_RdCmd_tvalid,
992 siROL_Mem_Mp0_RdCmd_tready => ssROL_SHL_Mem_Mp0_RdCmd_tready,
994 soROL_Mem_Mp0_RdSts_tdata => ssSHL_ROL_Mem_Mp0_RdSts_tdata,
995 soROL_Mem_Mp0_RdSts_tvalid => ssSHL_ROL_Mem_Mp0_RdSts_tvalid,
996 soROL_Mem_Mp0_RdSts_tready => ssSHL_ROL_Mem_Mp0_RdSts_tready,
998 soROL_Mem_Mp0_Read_tdata => ssSHL_ROL_Mem_Mp0_Read_tdata,
999 soROL_Mem_Mp0_Read_tkeep => ssSHL_ROL_Mem_Mp0_Read_tkeep,
1000 soROL_Mem_Mp0_Read_tlast => ssSHL_ROL_Mem_Mp0_Read_tlast,
1001 soROL_Mem_Mp0_Read_tvalid => ssSHL_ROL_Mem_Mp0_Read_tvalid,
1002 soROL_Mem_Mp0_Read_tready => ssSHL_ROL_Mem_Mp0_Read_tready,
1004 siROL_Mem_Mp0_WrCmd_tdata => ssROL_SHL_Mem_Mp0_WrCmd_tdata,
1005 siROL_Mem_Mp0_WrCmd_tvalid => ssROL_SHL_Mem_Mp0_WrCmd_tvalid,
1006 siROL_Mem_Mp0_WrCmd_tready => ssROL_SHL_Mem_Mp0_WrCmd_tready,
1008 soROL_Mem_Mp0_WrSts_tvalid => ssSHL_ROL_Mem_Mp0_WrSts_tvalid,
1009 soROL_Mem_Mp0_WrSts_tdata => ssSHL_ROL_Mem_Mp0_WrSts_tdata,
1010 soROL_Mem_Mp0_WrSts_tready => ssSHL_ROL_Mem_Mp0_WrSts_tready,
1012 siROL_Mem_Mp0_Write_tdata => ssROL_SHL_Mem_Mp0_Write_tdata,
1013 siROL_Mem_Mp0_Write_tkeep => ssROL_SHL_Mem_Mp0_Write_tkeep,
1014 siROL_Mem_Mp0_Write_tlast => ssROL_SHL_Mem_Mp0_Write_tlast,
1015 siROL_Mem_Mp0_Write_tvalid => ssROL_SHL_Mem_Mp0_Write_tvalid,
1016 siROL_Mem_Mp0_Write_tready => ssROL_SHL_Mem_Mp0_Write_tready,
1021 miROL_Mem_Mp1_AWID => smROL_SHL_Mem_Mp1_AWID ,
1022 miROL_Mem_Mp1_AWADDR => smROL_SHL_Mem_Mp1_AWADDR ,
1023 miROL_Mem_Mp1_AWLEN => smROL_SHL_Mem_Mp1_AWLEN ,
1024 miROL_Mem_Mp1_AWSIZE => smROL_SHL_Mem_Mp1_AWSIZE ,
1025 miROL_Mem_Mp1_AWBURST => smROL_SHL_Mem_Mp1_AWBURST ,
1026 miROL_Mem_Mp1_AWVALID => smROL_SHL_Mem_Mp1_AWVALID ,
1027 miROL_Mem_Mp1_AWREADY => smROL_SHL_Mem_Mp1_AWREADY ,
1028 miROL_Mem_Mp1_WDATA => smROL_SHL_Mem_Mp1_WDATA ,
1029 miROL_Mem_Mp1_WSTRB => smROL_SHL_Mem_Mp1_WSTRB ,
1030 miROL_Mem_Mp1_WLAST => smROL_SHL_Mem_Mp1_WLAST ,
1031 miROL_Mem_Mp1_WVALID => smROL_SHL_Mem_Mp1_WVALID ,
1032 miROL_Mem_Mp1_WREADY => smROL_SHL_Mem_Mp1_WREADY ,
1033 miROL_Mem_Mp1_BID => smROL_SHL_Mem_Mp1_BID ,
1034 miROL_Mem_Mp1_BRESP => smROL_SHL_Mem_Mp1_BRESP ,
1035 miROL_Mem_Mp1_BVALID => smROL_SHL_Mem_Mp1_BVALID ,
1036 miROL_Mem_Mp1_BREADY => smROL_SHL_Mem_Mp1_BREADY ,
1037 miROL_Mem_Mp1_ARID => smROL_SHL_Mem_Mp1_ARID ,
1038 miROL_Mem_Mp1_ARADDR => smROL_SHL_Mem_Mp1_ARADDR ,
1039 miROL_Mem_Mp1_ARLEN => smROL_SHL_Mem_Mp1_ARLEN ,
1040 miROL_Mem_Mp1_ARSIZE => smROL_SHL_Mem_Mp1_ARSIZE ,
1041 miROL_Mem_Mp1_ARBURST => smROL_SHL_Mem_Mp1_ARBURST ,
1042 miROL_Mem_Mp1_ARVALID => smROL_SHL_Mem_Mp1_ARVALID ,
1043 miROL_Mem_Mp1_ARREADY => smROL_SHL_Mem_Mp1_ARREADY ,
1044 miROL_Mem_Mp1_RID => smROL_SHL_Mem_Mp1_RID ,
1045 miROL_Mem_Mp1_RDATA => smROL_SHL_Mem_Mp1_RDATA ,
1046 miROL_Mem_Mp1_RRESP => smROL_SHL_Mem_Mp1_RRESP ,
1047 miROL_Mem_Mp1_RLAST => smROL_SHL_Mem_Mp1_RLAST ,
1048 miROL_Mem_Mp1_RVALID => smROL_SHL_Mem_Mp1_RVALID ,
1049 miROL_Mem_Mp1_RREADY => smROL_SHL_Mem_Mp1_RREADY ,
1055 poROL_Mmio_Ly7Rst =>
(sSHL_ROL_Mmio_Ly7Rst
),
1057 poROL_Mmio_Ly7En =>
(sSHL_ROL_Mmio_Ly7En
),
1059 poROL_Mmio_Mc1_MemTestCtrl => sSHL_ROL_Mmio_Mc1_MemTestCtrl,
1061 piROL_Mmio_Mc1_MemTestStat => sROL_SHL_Mmio_Mc1_MemTestStat,
1063 poROL_Mmio_UdpEchoCtrl => sSHL_ROL_Mmio_UdpEchoCtrl,
1064 poROL_Mmio_UdpPostDgmEn => sSHL_ROL_Mmio_UdpPostDgmEn,
1065 poROL_Mmio_UdpCaptDgmEn => sSHL_ROL_Mmio_UdpCaptDgmEn,
1066 poROL_Mmio_TcpEchoCtrl => sSHL_ROL_Mmio_TcpEchoCtrl,
1067 poROL_Mmio_TcpPostSegEn => sSHL_ROL_Mmio_TcpPostSegEn,
1068 poROL_Mmio_TcpCaptSegEn => sSHL_ROL_Mmio_TcpCaptSegEn,
1070 piROL_Mmio_RdReg => sROL_SHL_Mmio_RdReg,
1072 poROL_Mmio_WrReg => sSHL_ROL_Mmio_WrReg,
1077 poROL_Fmc_Rank => sSHL_ROL_Fmc_Rank,
1078 poROL_Fmc_Size => sSHL_ROL_Fmc_Size,
1090 sROL_SHL_Mmio_Mc1_MemTestStat <= (others => '0');
1094 sROL_reset_combinded <= sSHL_156_25Rst or sSHL_ROL_Mmio_Ly7Rst;
in soNRC_Tcp_Data_treadystd_ulogic
out moMEM_Mp1_ARSIZEstd_ulogic_vector(2 downto 0)
out moMEM_Mp1_AWADDRstd_ulogic_vector(32 downto 0)
out soNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Udp_Meta_TREADYstd_ulogic
in piSHL_156_25Clkstd_ulogic
out moMEM_Mp1_RREADYstd_ulogic
out soROLE_Nrc_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piSHL_156_25Rststd_ulogic
in moMEM_Mp1_RLASTstd_ulogic
in siNRC_Udp_Data_tlaststd_ulogic
out siMEM_Mp0_Read_treadystd_ulogic
in siNRC_Role_Udp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tkeepstd_ulogic_vector(63 downto 0)
out soNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
in soROLE_Nrc_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Tcp_Meta_TLASTstd_ulogic
in siMEM_Mp0_WrSts_tdatastd_ulogic_vector(7 downto 0)
out soMEM_Mp0_Write_tkeepstd_ulogic_vector(63 downto 0)
out siNRC_Tcp_Data_treadystd_ulogic
in siNRC_Role_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TVALIDstd_ulogic
in siNRC_Role_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in moMEM_Mp1_BVALIDstd_ulogic
out moMEM_Mp1_WSTRBstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_BREADYstd_ulogic
out moMEM_Mp1_AWIDstd_ulogic_vector(7 downto 0)
in moMEM_Mp1_RVALIDstd_ulogic
in soNRC_Udp_Data_treadystd_ulogic
in soMEM_Mp0_WrCmd_treadystd_ulogic
in siNRC_Udp_Data_tvalidstd_ulogic
in piMMIO_Ly7_Enstd_ulogic
in soMEM_Mp0_Write_treadystd_ulogic
out soNRC_Udp_Data_tlaststd_ulogic
out soROLE_Nrc_Udp_Meta_TVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TVALIDstd_ulogic
out moMEM_Mp1_WLASTstd_ulogic
out soNRC_Udp_Data_tvalidstd_ulogic
out moMEM_Mp1_WDATAstd_ulogic_vector(511 downto 0)
in siNRC_Tcp_Data_tdatastd_ulogic_vector(63 downto 0)
out soMEM_Mp0_RdCmd_tdatastd_ulogic_vector(79 downto 0)
in siMEM_Mp0_Read_tdatastd_ulogic_vector(511 downto 0)
out soMEM_Mp0_Write_tdatastd_ulogic_vector(511 downto 0)
out siMEM_Mp0_RdSts_treadystd_ulogic
out moMEM_Mp1_ARBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Role_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_RdSts_tdatastd_ulogic_vector(7 downto 0)
out poROL_Nrc_Tcp_Rx_portsstd_ulogic_vector(31 downto 0)
in siNRC_Tcp_Data_tvalidstd_ulogic
in siNRC_Role_Udp_Meta_TLASTstd_ulogic
in moMEM_Mp1_ARREADYstd_ulogic
out siNRC_Udp_Data_treadystd_ulogic
in moMEM_Mp1_RRESPstd_ulogic_vector(1 downto 0)
out soMEM_Mp0_Write_tlaststd_ulogic
out moMEM_Mp1_ARIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tvalidstd_ulogic
out moMEM_Mp1_ARVALIDstd_ulogic
out soROLE_Nrc_Tcp_Meta_TDATAstd_ulogic_vector(63 downto 0)
out moMEM_Mp1_AWBURSTstd_ulogic_vector(1 downto 0)
in siNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_RdCmd_tvalidstd_ulogic
out soNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siMEM_Mp0_Read_tvalidstd_ulogic
in moMEM_Mp1_RIDstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Udp_Meta_TDATAstd_ulogic_vector(63 downto 0)
in piFMC_ROLE_rankstd_logic_vector(31 downto 0)
out soROLE_Nrc_Tcp_Meta_TKEEPstd_ulogic_vector(7 downto 0)
in piTOP_250_00Clkstd_ulogic
out moMEM_Mp1_AWLENstd_ulogic_vector(7 downto 0)
in piFMC_ROLE_sizestd_logic_vector(31 downto 0)
in siNRC_Tcp_Data_tkeepstd_ulogic_vector(7 downto 0)
in soMEM_Mp0_RdCmd_treadystd_ulogic
in moMEM_Mp1_WREADYstd_ulogic
out siNRC_Role_Udp_Meta_TREADYstd_ulogic
out soNRC_Tcp_Data_tlaststd_ulogic
in siMEM_Mp0_RdSts_tvalidstd_ulogic
out soROLE_Nrc_Udp_Meta_TLASTstd_ulogic
out moMEM_Mp1_AWSIZEstd_ulogic_vector(2 downto 0)
in moMEM_Mp1_RDATAstd_ulogic_vector(511 downto 0)
in moMEM_Mp1_BIDstd_ulogic_vector(7 downto 0)
out soMEM_Mp0_WrCmd_tdatastd_ulogic_vector(79 downto 0)
in piMMIO_Ly7_Rststd_ulogic
out poSHL_Mmio_RdRegstd_ulogic_vector(15 downto 0)
out siNRC_Role_Tcp_Meta_TREADYstd_ulogic
in siNRC_Role_Udp_Meta_TVALIDstd_ulogic
in moMEM_Mp1_BRESPstd_ulogic_vector(1 downto 0)
out moMEM_Mp1_WVALIDstd_ulogic
in siNRC_Udp_Data_tdatastd_ulogic_vector(63 downto 0)
out moMEM_Mp1_ARLENstd_ulogic_vector(7 downto 0)
out soROLE_Nrc_Tcp_Meta_TLASTstd_ulogic
out soNRC_Udp_Data_tkeepstd_ulogic_vector(7 downto 0)
in siNRC_Tcp_Data_tlaststd_ulogic
out siMEM_Mp0_WrSts_treadystd_ulogic
in siMEM_Mp0_WrSts_tvalidstd_ulogic
out soMEM_Mp0_Write_tvalidstd_ulogic
out moMEM_Mp1_ARADDRstd_ulogic_vector(32 downto 0)
in moMEM_Mp1_AWREADYstd_ulogic
out poROL_Nrc_Udp_Rx_portsstd_ulogic_vector(31 downto 0)
in siMEM_Mp0_Read_tlaststd_ulogic
out soNRC_Tcp_Data_tvalidstd_ulogic
out poDDR4_Mem_Mc0_Reset_nstd_ulogic
inout pioPSOC_Emif_Datastd_ulogic_vector( gEmifDataWidth- 1 downto 0)
out poDDR4_Mem_Mc0_Odtstd_ulogic
out poDDR4_Mem_Mc0_Act_nstd_ulogic
in piPSOC_Emif_Addrstd_ulogic_vector( gEmifAddrWidth- 1 downto 0)
in piPSOC_Emif_Clkstd_ulogic
inout pioDDR4_Mem_Mc0_Dqs_nstd_ulogic_vector(8 downto 0)
in piPSOC_Emif_Cs_nstd_ulogic
gBitstreamUsagestring := "flash"
out poDDR4_Mem_Mc1_Ck_nstd_ulogic
inout pioDDR4_Mem_Mc0_DmDbi_nstd_ulogic_vector(8 downto 0)
gEmifDataWidthinteger :=8
in piCLKT_Usr1Clk_nstd_ulogic
out poDDR4_Mem_Mc0_Ck_nstd_ulogic
in piCLKT_10GeClk_pstd_ulogic
out poDDR4_Mem_Mc0_Ck_pstd_ulogic
in piPSOC_Emif_AdS_nstd_ulogic
in piPSOC_Emif_We_nstd_ulogic
out poDDR4_Mem_Mc0_Adrstd_ulogic_vector(16 downto 0)
out poLED_HeartBeat_nstd_ulogic
in piPSOC_Emif_Oe_nstd_ulogic
gTopDateMonthstDate :=8d"00"
inout pioDDR4_Mem_Mc1_Dqs_nstd_ulogic_vector(8 downto 0)
in piCLKT_Mem0Clk_nstd_ulogic
inout pioDDR4_Mem_Mc1_Dqstd_ulogic_vector(71 downto 0)
inout pioDDR4_Mem_Mc1_DmDbi_nstd_ulogic_vector(8 downto 0)
in piCLKT_Usr0Clk_nstd_ulogic
inout pioDDR4_Mem_Mc0_Dqstd_ulogic_vector(71 downto 0)
out poDDR4_Mem_Mc1_Ckestd_ulogic
in piCLKT_Mem1Clk_pstd_ulogic
inout pioDDR4_Mem_Mc0_Dqs_pstd_ulogic_vector(8 downto 0)
out poDDR4_Mem_Mc1_Adrstd_ulogic_vector(16 downto 0)
gTopDateDaystDate :=8d"00"
gSecurityPriviledgesstring := "super"
out poDDR4_Mem_Mc1_Ck_pstd_ulogic
gEmifAddrWidthinteger :=8
in piCLKT_Usr1Clk_pstd_ulogic
out poDDR4_Mem_Mc1_Cs_nstd_ulogic
out poDDR4_Mem_Mc0_Bgstd_ulogic_vector(1 downto 0)
in piECON_Eth_10Ge0_pstd_ulogic
out poDDR4_Mem_Mc0_Cs_nstd_ulogic
in piCLKT_Usr0Clk_pstd_ulogic
out poECON_Eth_10Ge0_nstd_ulogic
in piECON_Eth_10Ge0_nstd_ulogic
in piPSOC_Fcfg_Rst_nstd_ulogic
gTopDateYearstDate :=8d"00"
in piCLKT_Mem0Clk_pstd_ulogic
out poECON_Eth_10Ge0_pstd_ulogic
out poDDR4_Mem_Mc1_Act_nstd_ulogic
inout pioDDR4_Mem_Mc1_Dqs_pstd_ulogic_vector(8 downto 0)
out poDDR4_Mem_Mc0_Bastd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Bastd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Bgstd_ulogic_vector(1 downto 0)
out poDDR4_Mem_Mc1_Odtstd_ulogic
out poDDR4_Mem_Mc1_Reset_nstd_ulogic
in piCLKT_10GeClk_nstd_ulogic
out poDDR4_Mem_Mc0_Ckestd_ulogic
in piCLKT_Mem1Clk_nstd_ulogic